パラメータ

パラメータは変数と同時に宣言できます。param キーワードはモジュールヘッダで使用することができ、インスタンス時に上書きできます。local キーワードはモジュール内で使用することができ、上書きできません。

module ModuleA #(
    param ParamA: u32 = 1,
) {
    local ParamB: u32 = 1;
}