Test

[test] セクションは以下のように組み込みユニットテストの設定を指定します。

[test]
simulator = "vcs"

設定

[test] セクション

このセクションはテストの設定です。

設定設定値説明
simulatorシミュレータ名1デフォルトのシミュレータ
1

設定可能な値は以下です。

  • "verilator"
  • "vcs"
  • "vivado"

[test.verilator] セクション

このセクションはVerilatorによるテストの設定です。

設定設定値説明
compile_args[文字列]verilator コマンドへの追加の引数
simulate_args[文字列]シミュレーションバイナリへの追加の引数

[test.vcs] セクション

このセクションはVCSによるテストの設定です。

設定設定値説明
compile_args[文字列]vcs コマンドへの追加の引数
simulate_args[文字列]シミュレーションバイナリへの追加の引数

[test.vivado] セクション

このセクションはVivadoによるテストの設定です。

設定設定値説明
compile_args[文字列]xvlog コマンドへの追加の引数
elaborate_args[文字列]xelab コマンドへの追加の引数
simulate_args[文字列]xsim コマンドへの追加の引数

waveform_target フィールド

waveform_target フィールドは波形の生成先を指定します。

  • target – ターゲットコードと同じディレクトリ
  • directory – 特定のディレクトリ

directory を指定する場合は、ターゲットパスを path キーで指定します。

[build]
waveform_target = {type = "directory", path = "[dst dir]"}