The Veryl Hardware Description Language
Veryl is a hardware description language based on SystemVerilog, providing the following advantages:
Optimized Syntax
Veryl adopts syntax optimized for logic design while being based on a familiar basic syntax for SystemVerilog experts. This optimization includes guarantees for synthesizability, ensuring consistency between simulation results, and providing numerous syntax simplifications for common idioms. This approach enables ease of learning, improves the reliability and efficiency of the design process, and facilitates ease of code writing.
Interoperability
Designed with interoperability with SystemVerilog in mind, Veryl allows smooth integration and partial replacement with existing SystemVerilog components and projects. Furthermore, SystemVerilog source code transpiled from Veryl retains high readability, enabling seamless integration and debugging.
Productivity
Veryl comes with a rich set of development support tools, including package managers, build tools, real-time checkers compatible with major editors such as VSCode, Vim, Emacs, automatic completion, and automatic formatting. These tools accelerate the development process and significantly enhance productivity.
With these features, Veryl provides powerful support for designers to efficiently and productively conduct high-quality hardware design.
Features
In this chapter, we introduce the features of Veryl along with clear examples.
- Real-time diagnostics
- Auto formatting
- Integrated test
- Dependency management
- Generics
- Clock Domain Annotation
- Trailing comma
- Abstraction of clock and reset
- Documentation comment
- Compound assignment operator in
always_ff
- Individual namespace of enum variant
repeat
of concatenationif
/case
expression- Range-based
for
/inside
/outside
msb
notationlet
statement<>
operator- Named block
- Visibility control
Real-time diagnostics
Issues such as undefined, unused, or unassigned variables are notified in real-time while editing in the editor.
In the following example, adding the _
prefix to variables flagged as unused explicitly indicates their unused status, suppressing warnings.
Auto formatting
In addition to the automatic formatting feature integrated with the editor, formatting through the command line and formatting checks in CI are also possible.
Integrated test
Test code written by SystemVerilog or cocotb can be embeded in Veryl code,
it can be executed through veryl test
command.
#[test(test1)]
embed (inline) sv{{{
module test1;
initial begin
assert (0) else $error("error");
end
endmodule
}}}
Dependency management
Veryl includes a built-in dependency management feature, allowing for easy incorporation of libraries by simply adding the repository path and version of the library on project settings like below.
[dependencies]
veryl_sample = {git = "https://github.com/veryl-lang/veryl_sample", version = "0.1.0"}
Generics
Code generation through generics achieves more reusable code than traditional parameter override. Parameters in function like the following example, but also module names of instantiation, type names of struct definition, and so on can be parameterized.
SystemVerilog | Veryl |
---|---|
|
|
Clock Domain Annotation
If there are some clocks in a module, explicit clock domain annotation and unsafe (cdc)
block at the clock domain boundaries are required.
By the annotation, Veryl compiler detects unexpected clock domain crossing as error, and explicit unsafe (cdc)
block eases to review clock domain crossing.
SystemVerilog | Veryl |
---|---|
|
|
Trailing comma
Trailing comma is a syntax where a comma is placed after the last element in a list. It facilitates the addition and removal of elements and reduces unnecessary differences in version control systems.
SystemVerilog | Veryl |
---|---|
|
|
Abstraction of clock and reset
There is no need to specify the polarity and synchronicity of the clock and reset in the syntax; these can be specified during build-time configuration. This allows generating code for both ASICs with negative asynchronous reset and FPGAs with positive synchronous reset from the same Veryl code.
Additionally, explicit clock
and reset
type enables to check whether clock and reset are correctly connected to registers.
If there is a single clock and reset in the module, the connection can be omitted.
SystemVerilog | Veryl |
---|---|
|
|
Documentation comment
Writing module descriptions as documentation comments allows for automatic documentation generation. You can use not only plain text but also the following formats:
SystemVerilog | Veryl |
---|---|
|
|
Compound assignment operator in always_ff
There is no dedicated non-blocking assignment operator;
within always_ff
, non-blocking assignments are inferred, while within always_comb
, blocking assignments are inferred.
Therefore, various compound assignment operators can be used within always_ff
just like within always_comb
.
SystemVerilog | Veryl |
---|---|
|
|
Individual namespace of enum variant
Variants of an enum are defined within separate namespaces for each enum, thus preventing unintended name collisions.
SystemVerilog | Veryl |
---|---|
|
|
repeat
of concatenation
By adopting the explicit repeat
syntax as a repetition description in bit concatenation,
readability improves over complex combinations of {}
.
SystemVerilog | Veryl |
---|---|
|
|
if
/ case
expression
By adopting if
and case
expressions instead of the ternary operator,
readability improves, especially when comparing a large number of items.
SystemVerilog | Veryl |
---|---|
|
|
Range-based for
/ inside
/ outside
With notation representing closed intervals ..=
and half-open intervals ..
,
it is possible to uniformly describe ranges using for
, inside
, and outside
(which denotes the inverse of inside
).
SystemVerilog | Veryl |
---|---|
|
|
msb
notation
The msb
notation, indicating the most significant bit, eliminates the need to calculate the most significant bit from parameters, making intentions clearer.
SystemVerilog | Veryl |
---|---|
|
|
let
statement
There is a dedicated let
statement available for binding values simultaneously with variable declaration,
which can be used in various contexts that were not supported in SystemVerilog.
SystemVerilog | Veryl |
---|---|
|
|
<>
operator
<>
operator can connect two interfaces. It simplifies SystemVerilog’s interface connection requiring each member assignments.
SystemVerilog | Veryl |
---|---|
|
|
Named block
You can define named blocks to limit the scope of variables.
SystemVerilog | Veryl |
---|---|
|
|
Visibility control
Modules without the pub
keyword cannot be referenced from outside the project
and are not included in automatic documentation generation.
This allows distinguishing between what should be exposed externally from the project and internal implementations.
SystemVerilog | Veryl |
---|---|
|
|
Getting Started
Let’s start to use Veryl. In this section, we will install Veryl, create an example project, and build it.
Installation
Veryl can be intalled through the official toolchain installer verylup
.
We recommend to use verylup
because it provides some usefule features like toolchain update.
Note: If you want install on an enviromnent without internet access, you can use offline installation.
Requirement
Veryl uses git
command internally. Please confirm git
can be launched.
Install verylup
Download binary
Download from release page, and extract to the directory in PATH
.
Cargo
You can install with cargo.
cargo install verylup
Setup verylup
After installing verylup, the following command is required once at first.
It downloads the latest toolchain and creates veryl
and veryl-ls
command at the same location as verylup.
verylup setup
Now veryl
command can be used!
Editor integration
Visual Studio Code and Vim / Neovim are supported officially.
Visual Studio Code
For Visual Studio Code, Veryl extension is provided. The extension provides file type detection, syntex highlight and language server integration. You can install it by searching “Veryl” in extension panel or the following URL.
Veryl extension for Visual Studio Code
Vim / Neovim
For Vim / Neovim, Veryl plugin is provided. The plugin provides file type detection, syntex highlight. There are some instructions for plugin installation and language server integration in the following URL.
Other Editors
Veryl provides language server. So other editors supporting language server (ex. Emacs) can use it.
Shell Completion
Shell completion script for veryl
and verylup
is provided through verylup completion
.
For example, the following command generates completion script for zsh.
verylup completion zsh veryl > _veryl
verylup completion zsh verylup > _verylup
Supported shells are below:
- Bash
- Elvish
- Fish
- PowerShell
- Zsh
Please refer the documentation of each shell for usage of generated scripts.
Hello, World!
Create Project
At first, a new Veryl project can be created by:
veryl new hello
After the command, the following directory and file will be created.
If git
command is available, the directory is initialized as Git repository and the default .gitignore
are added.
$ veryl new hello
[INFO ] Created "hello" project
$ cd hello
$ tree
.
├── src
└── Veryl.toml
1 directory, 1 file
Veryl.toml
is the project configuration.
[project]
name = "hello"
version = "0.1.0"
[build]
source = "src"
target = {type = "directory", path = "target"}
The description of all configuration is here.
Write Code
You can add source codes at an arbitrary position in the project directory.
This is because Veryl project can be independent or integrated to other SystemVerilog project.
The extension of Veryl’s source codes is .veryl
.
For example, put the following code to src/hello.veryl
.
module ModuleA {
initial {
$display("Hello, world!");
}
}
$ tree
.
├── src
│ └── hello.veryl
└── Veryl.toml
1 directory, 2 files
Note: Some source codes in the book have play button “▶” which will be appeared when mouse cursor is hovered at the code. If you click the button, the transpiled SystemVerilog code will appear. Please try to click the button of
module ModuleA
code.
Build Code
You can generate a SystemVerilog code by veryl build
.
$ veryl build
[INFO ] Processing file ([path to hello]/src/hello.veryl)
[INFO ] Output filelist ([path to hello]/hello.f)
$ tree
.
├── dependencies
├── hello.f
├── src
│ └── hello.veryl
├── target
│ ├── hello.sv
│ └── hello.sv.map
├── Veryl.lock
└── Veryl.toml
3 directories, 6 files
By default, SystemVerilog code will be generated at the same directory as Veryl code.
The generated code is src/hello.sv
.
module hello_ModuleA;
initial begin
$display("Hello, world!");
end
endmodule
//# sourceMappingURL=hello.sv.map
Additionally, hello.f
which is the filelist of generated codes will be generated.
You can use it for SystemVerilog compiler.
The following example is to use Verilator.
$ verilator --binary -f hello.f
Clean up the Generated Code
The generated code can be cleaned up by veryl clean
.
$ veryl clean
[INFO ] Removing file ([path to hello]/src/hello.sv)
[INFO ] Removing file ([path to hello]/src/hello.sv.map)
[INFO ] Removing dir ([path to hello]/dependencies)
[INFO ] Removing file ([path to hello]/hello.f)
Code Examples
Veryl has the almost same semantics as SystemVerilog. If you are used to SystemVerilog, you will guess Veryl semantics with a small example source code.
This is a small example. In the following example, comments show the difference with SystemVerilog syntax.
module ModuleA (
// name is first, and type is followed after `:`
// bit width is represented by `<>`
i_data: input logic<10>,
o_data: output logic<10>,
// use `{}` instead of `begin`/`end`
) {
assign o_data = i_data;
}
Additionally, the codeblocks in this chapter can be edit. Let’s try to edit and play each code.
A source code of Veryl has some module
, interface
and package
like SystemVerilog.
In this chapter, we’ll show the some example source codes of them.
Module
// module definition
module ModuleA #(
param ParamA: u32 = 10,
const ParamB: u32 = 10, // trailing comma is allowed
) (
i_clk : input clock , // `clock` is a special type for clock
i_rst : input reset , // `reset` is a special type for reset
i_sel : input logic ,
i_data: input logic<ParamA> [2], // `[]` means unpacked array in SystemVerilog
o_data: output logic<ParamA> , // `<>` means packed array in SystemVerilog
) {
// const parameter declaration
// `param` is not allowed in module
const ParamC: u32 = 10;
// variable declaration
var r_data0: logic<ParamA>;
var r_data1: logic<ParamA>;
var r_data2: logic<ParamA>;
// value binding
let _w_data2: logic<ParamA> = i_data[0];
// always_ff statement with reset
// `always_ff` can take a mandatory clock and a optional reset
// `if_reset` means `if (i_rst)`. This conceals reset porality
// `()` of `if` is not required
// `=` in `always_ff` is non-blocking assignment
always_ff (i_clk, i_rst) {
if_reset {
r_data0 = 0;
} else if i_sel {
r_data0 = i_data[0];
} else {
r_data0 = i_data[1];
}
}
// always_ff statement without reset
always_ff (i_clk) {
r_data1 = r_data0;
}
// clock and reset can be omitted
// if there is a single clock and reset in the module
always_ff {
r_data2 = r_data1;
}
assign o_data = r_data1;
}
Instantiation
module ModuleA #(
param ParamA: u32 = 10,
) (
i_clk : input clock ,
i_rst : input reset ,
i_data: input logic<ParamA>,
o_data: output logic<ParamA>,
) {
var r_data1: logic<ParamA>;
var r_data2: logic<ParamA>;
assign r_data1 = i_data + 1;
assign o_data = r_data2 + 2;
// instance declaration
// `inst` keyword starts instance declaration
// port connnection can be specified by `()`
// each port connection is `[port_name]:[variable]`
// `[port_name]` means `[port_name]:[port_name]`
inst u_module_b: ModuleB (
i_clk ,
i_data: r_data1,
o_data: r_data2,
);
// instance declaration with parameter override
// notation of parameter connection is the same as port
inst u_module_c: ModuleC #( ParamA, ParamB: 10 );
}
module ModuleB #(
param ParamA: u32 = 10,
) (
i_clk : input clock ,
i_data: input logic<ParamA>,
o_data: output logic<ParamA>,
) {
assign o_data = 1;
}
module ModuleC #(
param ParamA: u32 = 10,
param ParamB: u32 = 10,
) () {}
Interface
// interface definition
interface InterfaceA #(
param ParamA: u32 = 1,
param ParamB: u32 = 1,
) {
const ParamC: u32 = 1;
var a: logic<ParamA>;
var b: logic<ParamA>;
var c: logic<ParamA>;
// modport definition
modport master {
a: input ,
b: input ,
c: output,
}
modport slave {
a: input ,
b: input ,
c: output,
}
}
module ModuleA (
i_clk: input clock,
i_rst: input reset,
// port declaration by modport
intf_a_mst: modport InterfaceA::master,
intf_a_slv: modport InterfaceA::slave ,
) {
// interface instantiation
inst u_intf_a: InterfaceA [10];
}
Package
// package definition
package PackageA {
const ParamA: u32 = 1;
const ParamB: u32 = 1;
function FuncA (
a: input logic<ParamA>,
) -> logic<ParamA> {
return a + 1;
}
}
module ModuleA {
let a : logic<10> = PackageA::ParamA;
let _b: logic<10> = PackageA::FuncA(a);
}
Language Reference
In this chapter, we’ll discuss the lauguage definition of Veryl.
Source Code Structure
Veryl’s source code is composed by some module
, interface
and package
.
module ModuleA {}
module ModuleB {}
interface InterfaceA {}
package PackageA {}
The name of module
, interface
and package
in the transpiled code will added project name as prefix.
In the sample code, project_
will be added.
It is to avoid name conflict between projects.
Lexical Structure
This chapter shows the lexical structure of Veryl. At the first, we’ll discuss about the general parts in it.
Encoding
The encoding of Veryl source code should be UTF-8.
White Space
(white space), \t
and \n
are treated as white space.
All of them are skipped by Veryl’s parser.
Comment
Single line comment and multi line comment can be used. Almost all comment will be outputted at the transpiled code.
// single line comment
/*
multi
line
comment
*/
Documentation comment
Signle line comment starts with ///
is treated as documentation comment.
Documentation comment is used for document generation.
/// documentation comment
Identifier
Identifier is composed with ASCII alphabet and number and _
.
Leading number is not allowed.
The following regular expression shows the definition.
[a-zA-Z_][a-zA-Z0-9_]*
Raw Identifier
Some keywords of Veryl can be used as identifier in SystemVerilog.
To access these identifiers, raw identifier can be used.
For example, clock
which is a keyword of Veryl can be used as identifier like r#clock
.
The r#clock
will be transpiled to clock
in SystemVerilog.
String
String is surrounded by "
.
Escape by \
can be used like \"
, \n
and so on.
"Hello, World!"
Operator
Almost all operators are the same as SystemVerilog. Please be careful the some differences.
<:
less than operator which is the same as<
in SystemVerilog.>:
greater than operator which is the same as>
in SystemVerilog.
// unary arithmetic
a = +1;
a = -1;
// unary logical
a = !1;
a = ~1;
// unary reduce
a = &1;
a = |1;
a = ^1;
a = ~&1;
a = ~|1;
a = ~^1;
a = ^~1;
// binary arithmetic
a = 1 ** 1;
a = 1 * 1;
a = 1 / 1;
a = 1 % 1;
a = 1 + 1;
a = 1 - 1;
// binary shift
a = 1 << 1;
a = 1 >> 1;
a = 1 <<< 1;
a = 1 >>> 1;
// binary compare
a = 1 <: 1;
a = 1 <= 1;
a = 1 >: 1;
a = 1 >= 1;
a = 1 == 1;
a = 1 != 1;
a = 1 === 1;
a = 1 !== 1;
a = 1 ==? 1;
a = 1 !=? 1;
// binary bitwise
a = 1 & 1;
a = 1 ^ 1;
a = 1 ~^ 1;
a = 1 ^~ 1;
a = 1 | 1;
// binary logical
a = 1 && 1;
a = 1 || 1;
Number
Integer
// integer
0123456789
01_23_45_67_89
// binary
32'b01xzXZ
32'b01_xz_XZ
// octal
36'o01234567xzXZ
36'o01_23_45_67_xz_XZ
// decimal
32'd0123456789
32'd01_23_45_67_89
// hex
128'h0123456789abcdefxzABCDEFXZ
128'h01_23_45_67_89_ab_cd_ef_xz_AB_CD_EF_XZ
Set all bits
// all 0
'0
// all 1
'1
// all x
'x
'X
// all z
'z
'Z
Widthless integer
The bit width specification of integer can be omitted. If it is omitted, the appropriate width will be filled in the translated code.
module ModuleA {
const a0: u64 = 'b0101;
const a1: u64 = 'o01234567;
const a2: u64 = 'd0123456789;
const a3: u64 = 'h0123456789fffff;
}
Set sized bits
The bit width specification can be added to “set all bits”.
module ModuleA {
const a0: logic<32> = 1'0;
const a1: logic<32> = 2'1;
const a2: logic<32> = 3'x;
const a3: logic<32> = 4'z;
}
Floating point
// floating point
0123456789.0123456789
01_23_45_67_89.01_23_45_67_89
// floating with exponent
0123456789.0123456789e+0123456789
01_23_45_67_89.01_23_45_67_89E-01_23_45_67_89
Array Literal
'{}
represents array literal.
In the literal, expression, repeat
keyword and default
keyword can be placed.
module ModuleA {
let _a: logic [3] = '{1, 2, 3};
let _b: logic [3] = '{1 repeat 3}; // '{1, 1, 1}
let _c: logic [3] = '{default: 3}; // '{3, 3, 3}
}
Data Type
In this chapter, we’ll discuss about data type.
Builtin Type
4-state data type which has variable width
logic
is 4-state (0
, 1
, x
, z
) data type.
The variable width can be specified by <>
after logic
.
Multi-dimentional can be specified by <X, Y, Z,,,>
.
module ModuleA {
let _a: logic = 1;
let _b: logic<10> = 1;
let _c: logic<10, 10> = 1;
}
2-state data type which has variable width
bit
is 2-state (0
, 1
) data type.
The variable width can be specified by <>
after bit
.
Multi-dimentional can be specified by <X, Y, Z,,,>
.
module ModuleA {
let _a: bit = 1;
let _b: bit<10> = 1;
let _c: bit<10, 10> = 1;
}
Type modifier
The following type modifiers can be added to logic
and bit
type.
signed
: the MSB is treated as sign-bittri
: tri-state type
module ModuleA {
let _a: signed logic<10> = 1;
let _b: tri logic <10> = 1;
let _c: signed bit <10> = 1;
let _d: tri bit <10> = 1;
}
Integer type
There are some integer types:
u8
: 8bit unsigned integeru16
: 16bit unsigned integeru32
: 32bit unsigned integeru64
: 64bit unsigned integeri8
: 8bit signed integeri16
: 16bit signed integeri32
: 32bit signed integeri64
: 64bit signed integer
module ModuleA {
let _a: u8 = 1;
let _b: u16 = 1;
let _c: u32 = 1;
let _d: u64 = 1;
let _e: i8 = 1;
let _f: i16 = 1;
let _g: i32 = 1;
let _h: i64 = 1;
}
Floating point type
There are some floating point types:
f32
: 32bit floating pointf64
: 64bit floating point
Both of them are represented as described by IEEE Std 754.
module ModuleA {
let _a: f32 = 1.0;
let _b: f64 = 1.0;
}
String type
string
is string type.
module ModuleA {
let _a: string = "";
}
Type type
type
is a type which represents type kind.
Variable of type
can be defined as param
or const
only.
module ModuleA {
const a: type = logic;
const b: type = logic<10>;
const c: type = u32;
}
Boolean type
bool
is a type alias of logic<1>
to express boolean.
true
and false
literal which express 1'b1
and 1'b0
can be used.
module ModuleA {
const a: bool = true;
const b: bool = false;
}
User Defined Type
Struct
struct
is composite data type.
It can contain some fields, and these fields can be access through .
operator.
module ModuleA {
struct StructA {
member_a: logic ,
member_b: logic<10>,
member_c: u32 ,
}
var a: StructA;
assign a.member_a = 0;
assign a.member_b = 1;
assign a.member_c = 2;
}
Enum
enum
is enumerable type.
It has some named variant, and the value of enum
can be set to the one of them.
The variant name can be specified by [enum name]::[variant name]
.
Each variant has the corresponding integral value.
The value can be specified by =
.
Otherwise, it is assigned automatically.
module A {
enum EnumA: logic<2> {
member_a,
member_b,
member_c = 3,
}
var a: EnumA;
assign a = EnumA::member_a;
}
If the type of enum
is omitted, it will be infered from the variants automatically.
module A {
enum EnumA {
member_a,
member_b,
member_c = 3,
}
}
Enum Encoding
By default, the value of each variant is assigned sequentially if it is omitted.
If you want to specify value encoding, #[enum_encoding]
attribute can be used.
The available encodings are here:
sequential
onehot
gray
module A {
#[enum_encoding(sequential)]
enum EnumA {
member_a,
}
#[enum_encoding(onehot)]
enum EnumB {
member_a,
}
#[enum_encoding(gray)]
enum EnumC {
member_a,
}
}
Union
A Veryl union
is a packed, untagged sum type and is transpiled to SystemVerilog’s packed union
.
Each union variant should have the same packed width as each other union variant.
module A {
union UnionA {
variant_a: logic<8> ,
variant_b: logic<2, 4> ,
variant_c: logic<4, 2> ,
variant_d: logic<2, 2, 2>,
}
var a : UnionA;
assign a.variant_a = 8'haa;
}
Typedef
The type
keyword can be used to define a type alias to scalar or array types.
module A {
type word_t = logic <16> ;
type regfile_t = word_t [16];
type octbyte = bit <8> [8] ;
}
Array
Array can be defined by appending []
to any data type.
The length of array can be specified by the value in []
.
module ModuleA {
struct StructA {
A: logic,
}
enum EnumA: logic {
A,
}
var a: logic [20];
var b: logic <10> [20];
var c: u32 [20];
var d: StructA [20];
var e: EnumA [20];
assign a[0] = 0;
assign b[0] = 0;
assign c[0] = 0;
assign d[0] = 0;
assign e[0] = 0;
}
Multi-dimentional array can be defined by [X, Y, Z,,,]
.
module ModuleA {
struct StructA {
A: logic,
}
enum EnumA: logic {
A,
}
var a: logic [10, 20, 30];
var b: logic <10> [10, 20, 30];
var c: u32 [10, 20, 30];
var d: StructA [10, 20, 30];
var e: EnumA [10, 20, 30];
assign a[0][0][0] = 0;
assign b[0][0][0] = 0;
assign c[0][0][0] = 0;
assign d[0][0][0] = 0;
assign e[0][0][0] = 0;
}
Clock / Reset
clock
is a special types to represent clock wiring.
There are 3 variants to specify clock polarity.
clock
: clock type of which polarity is specified by the build optionclock_posedge
: clock type of which polarity is positiveclock_negedge
: clock type of which polarity is negative
reset
is a special types to represent reset wiring.
There are 5 variants to specify reset polarity and synchronicity.
reset
: reset type of which polarity and synchronicity are specified by the build optionreset_async_high
: async/high active reset typereset_async_low
: async/low active reset typereset_sync_high
: sync/active high reset typereset_sync_low
: sync/active low reset type
If there is no special requirement, clock
and reset
are recommended for code reusability.
module ModuleA (
i_clk : input '_ clock ,
i_clk_p : input '_ clock_posedge ,
i_clk_n : input '_ clock_negedge ,
i_rst : input '_ reset ,
i_rst_a : input '_ reset_async_high,
i_rst_a_n: input '_ reset_async_low ,
i_rst_s : input '_ reset_sync_high ,
i_rst_s_n: input '_ reset_sync_low ,
) {
var a: logic;
var b: logic;
var c: logic;
always_ff (i_clk, i_rst) {
if_reset {
a = 0;
} else {
a = 1;
}
}
always_ff (i_clk_p, i_rst_a) {
if_reset {
b = 0;
} else {
b = 1;
}
}
always_ff (i_clk_n, i_rst_s_n) {
if_reset {
c = 0;
} else {
c = 1;
}
}
}
Default Clock / Reset
In some cases, there are some clocks, but only single clock is used in all always_ff
.
For such case, default
type modifier can be used to specify the default clock and reset explicitly.
module ModuleA (
i_clk : input clock,
i_clk_en: input logic,
) {
let clk: '_ default clock = i_clk & i_clk_en;
var a: logic;
always_ff {
a = 0;
}
}
Expression
In this chapter, we’ll discuss about expression. Expression is combination of variable, operator, function call, and so on. It can be evaluated to a value.
Operator Precedence
In expression, operator precedence is almost the same as SystemVerilog.
Operator | Associativity | Precedence |
---|---|---|
() [] :: . | Left | Highest |
+ - ! ~ & ~& | ~| ^ ~^ ^~ (unary) | Left | |
** | Left | |
* / % | Left | |
+ - (binary) | Left | |
<< >> <<< >>> | Left | |
<: <= >: >= | Left | |
== != === !== ==? !=? | Left | |
& (binary) | Left | |
^ ~^ ^~ (binary) | Left | |
| (binary) | Left | |
&& | Left | |
|| | Left | |
= += -= *= /= %= &= ^= |= <<= >>= <<<= >>>= | None | |
{} inside outside if case switch | None | Lowest |
Function Call
Function can be call by function_name(argument)
.
System function of SystemVerilog like $clog2
can be used too.
package PackageA {
function FunctionA (
a: input logic,
b: input logic,
) {}
}
module ModuleA {
let _a: logic = PackageA::FunctionA(1, 1);
let _b: logic = $clog2(1);
}
Named Argument
If a function has many arguments, function call with named arguments is useful. Named arguments can’t be used with positional arguments at the same time.
module ModuleA {
function FunctionA (
a: input logic,
b: input logic,
c: input logic,
d: input logic,
) {}
let _a: logic = FunctionA(
a: 1,
b: 1,
c: 1,
d: 1,
);
// Mixing positional and named arguments is Error
//let _a: logic = FunctionA(
// 1,
// 2,
// a: 1,
// b: 1,
//);
}
Concatenation
{}
represents bit concatenation.
In {}
, repeat
keyword can be used to repeat specified operand.
module ModuleA {
let a : logic<10> = 1;
let b : logic<10> = 1;
let _c: logic = {a[9:0], b[4:3]};
let _d: logic = {a[9:0] repeat 10, b repeat 4};
}
If
Conditional expression using if
can be used.
The section which represents condition is placed after if
keyword,
and ()
is not required surrounding it.
An expression at true condition is placed after ?
, and an expression at false condition is placed after :
.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
assign b = if a == 0 ? 1 : if a >: 1 ? 2 : 3;
}
Case / Switch
Another conditional expression is case
.
case
containts some arms like value: expression
.
If the expression after case
keyword matches the left value of an arm,
the right expression of the arm will be returned.
As the value, range like ..=
can be used too.
In addition, x/z values in the value act as wildcards
. A wildcard bit matches any value (0/1/x/z) in the corresponding bit of the expression.
default
is a special arm which will be returned when all other arms are failed.
default
is mandatory because if expression always have to be evaluated to value.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
assign b = case a {
0 : 1,
1 : 2,
3..=5 : 4,
10'b00_0000_011x: 5, // matches 6 or 7
default : 6,
};
}
switch
is another form of case
.
switch
containts some arms like expression: expression
, and if the left expression is evaluated to 1, the right expression of the arm will be returned.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
assign b = switch {
a == 0 : 1,
a == 1 : 2,
a == 2 : 4,
default: 5,
};
}
Bit Select
[]
is bit select operator.
If an expression is specified to []
, single bit is selected.
Bit range selection can be specified by [expression:expression]
.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
var c: logic<10>;
assign b = a[3];
assign c = a[4:0];
}
Select by position and width
+:
and -:
notation can select by start position and width.
[A+:B]
means [(A+B-1):A]
, and [A-:B]
means [A:(A-B+1)]
.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
var c: logic<10>;
assign b = a[3+:1];
assign c = a[4-:2];
}
Select by index with step
step
notation can select by index with step.
[A step B]
means “select index A
in step B
”, so it equals [(B*A)+:B]
.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
assign b = a[2 step 3];
}
Range
Range can be specified through range operator. There are two range operator:
..
: half-open interval..=
: closed interval
Range can be used at some description like for
statement.
module ModuleA {
initial {
for _i: u32 in 0..10 {}
for _j: u32 in 0..=10 {}
}
}
Msb / Lsb
msb
and lsb
can be used in bit selection by []
.
msb
means most significant bit of the operand.
lsb
means least significant bit of the operand, it is the same as 0.
module ModuleA {
let a : logic<10> = 1;
let _b: logic<10> = a[msb - 3:lsb];
let _c: logic<10> = a[msb - 1:lsb + 1];
}
Inside / Outside
inside
check the specified expression is inside conditions which are specified in {}
.
Condition can be single expression or range.
If the expression matches any condition, inside
will return 1
, otherwise 0
.
outside
is vice versa.
module ModuleA {
var a: logic;
var b: logic;
assign a = inside 1 + 2 / 3 {0, 0..10, 1..=10};
assign b = outside 1 * 2 - 1 {0, 0..10, 1..=10};
}
Type Cast
as
is type casting operator.
Bit width speficied by based or baseless number or type name of user defined type can be used as the operand.
module ModuleA {
var a: EnumA ;
var b: logic<2>;
let x: logic = 0;
enum EnumA: logic {
A,
B,
}
assign a = x as EnumA;
assign b = x as 2;
}
Struct Constructor
To initialize struct, Struct constructor can be used instead of assigning each members.
This is especially useful to initialize const
because it can’t be assigned by each members.
..default
specifier can speficy the default value for unspecified members in the struct.
module ModuleA {
struct Param {
a: bit<10>,
b: bit<10>,
}
const p: Param = Param'{
a: 10,
b: 10,
};
const q: Param = Param'{
a: 1,
..default(0) // means `b: 0`
};
}
Statement
In this chapter, we’ll discuss about statement.
Statement can be used in some declaration like always_ff
, always_comb
.
Assignment
Assignment statement is variable = expression;
.
Unlike SystemVerilog, assignment operator is =
in both always_comb
and always_ff
.
There are other assignment operators:
+=
: addition assignment-=
: subtraction assignment*=
: multiplication assignment/=
: division assignment%=
: remainder assignment&=
: bitwise AND assignment|=
: bitwise OR assignment^=
: bitwise XOR assignment<<=
: logical left shift assignment>>=
: logical right shift assignment<<<=
: arithmetic left shift assignment>>>=
: arithmetic right shift assignment
module ModuleA (
i_clk: input clock,
) {
let a: logic<10> = 1;
var b: logic<10>;
var c: logic<10>;
var d: logic<10>;
var e: logic<10>;
always_comb {
b = a + 1;
c += a + 1;
}
always_ff (i_clk) {
d = a + 1;
e -= a + 1;
}
}
Function Call
Function call can be used as statement. In this case, the return value of function will be ignored.
module ModuleA {
initial {
$display("Hello, world!");
}
}
If
if
can be used as statement.
The difference from if
expression is that statements are placed in {}
.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
always_comb {
if a == 0 {
b = 1;
} else if a >: 1 {
b = 2;
} else {
b = 3;
}
}
}
Case / Switch
case
and switch
can be used as statement.
The meaning of them are the same as Case / Switch expression except that the right-hand of arm is statement.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
var c: logic<10>;
always_comb {
case a {
0: b = 1;
1: b = 2;
2: {
b = 3;
b = 3;
b = 3;
}
default: b = 4;
}
}
always_comb {
switch {
a == 0: c = 1;
a == 1: c = 2;
a == 2: {
c = 3;
c = 3;
c = 3;
}
default: c = 4;
}
}
}
cond_type
attribute
To specify unique
, unique0
and priority
in SystemVerilog, cond_type
attribute can be used.
The attribute can be annotated to case
or if
statement.
unique
: There are no overlapping items. Error if no item matches.unique0
: There are no overlapping items. No error if no item matches.priority
: The first match is used only. Error if no item matches.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
always_comb {
#[cond_type(unique)]
case a {
0: b = 1;
1: b = 2;
}
}
}
These attributes enable more aggressive optimization in synthesis, but if the expected condition is not complied, the result of synthesis will be broken. So these attributes are ignored by default, and if there is the following configuration, Veryl compiler emits them.
[build]
emit_cond_type = true
For
for
statement represent repetition.
Loop variable is placed before in
keyword,
and range is placed after it.
break
can be used to break the loop.
module ModuleA {
var a: logic<10>;
always_comb {
for i: u32 in 0..10 {
a += i;
if i == 5 {
break;
}
}
}
}
You can iterate the loop in descending order by putting rev
keyword after in
keyword.
module ModuleA {
var a: logic<10>;
always_comb {
for i: i32 in rev 0..10 {
a += i;
if i == 5 {
break;
}
}
}
}
Return
return
statement represents return from function.
The expression after return
keyword is the return value of the function.
module ModuleA {
function FunctionA () -> u32 {
return 0;
}
}
Let
let
statement represents a name bound to a value.
It can be used in always_ff
, always_comb
and function declaration.
let
statement can be placed anywhere in block.
module ModuleA (
i_clk: input clock,
) {
var a: logic;
var b: logic;
var c: logic;
always_ff (i_clk) {
let x: logic = 1;
a = x + 1;
}
always_comb {
let y: logic = 1;
b = y + 1;
let z: logic = 1;
c = z + 1;
}
}
Declaration
In this chapter, we’ll discuss about declaration.
Variable
Variable declaration is started by var
keyword.
After var
, variable name, :
, and the type of the variable are followed.
If there are unused variables, warning will be occured.
Variable name starting with _
means unused variable, and suppresses the warning.
If you want to bind a value to a name at the declaration, let
can be used instead of var
.
module ModuleA {
var _a: logic ;
var _b: logic<10> ;
var _c: logic<10, 10>;
var _d: u32 ;
let _e: logic = 1;
assign _a = 1;
assign _b = 1;
assign _c = 1;
assign _d = 1;
}
Parameter
Parameter can be declarated as the same as variable.
param
keyword can be used at module header, it can be overridden at instantiation.
const
keyword can be used in module, it can’t be overridden.
module ModuleA #(
param ParamA: u32 = 1,
) {
const ParamB: u32 = 1;
}
Register
If a variable is assigned in always_ff
declaration, it becomes register variable.
Register variable will be mapped to flip-flop in synthesis phase.
always_ff
has mandatory clock variable, optional reset variable, and {}
block.
Clock and reset are placed in ()
.
The specified clock and reset should have clock
/ reset
type and the witdh of them should be 1bit.
if_reset
is a special keyword which can be used in always_ff
.
It means reset condition of the register variable.
If if_reset
is used, always_ff
must have reset variable.
if_reset
can be conceal reset porality and synchronisity.
The actual porality and synchronisity can be configured through [build]
section of Veryl.toml
.
If there is a single clock and reset in the module, clock and reset specification can be omitted.
module ModuleA (
i_clk: input clock,
i_rst: input reset,
) {
var a: logic<10>;
var b: logic<10>;
var c: logic<10>;
always_ff (i_clk) {
a = 1;
}
always_ff (i_clk, i_rst) {
if_reset {
b = 0;
} else {
b = 1;
}
}
always_ff {
if_reset {
c = 0;
} else {
c = 1;
}
}
}
Combinational
If a variable is assigned in always_comb
declaration, it means combinational circuit.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
always_comb {
b = a + 1;
}
}
Assign
assign
declaration can assign expression to variable.
module ModuleA {
var a: logic<10>;
assign a = 1;
}
Concatenation can be used as the left hand side of assign
declaration.
module ModuleA {
var a: logic<10>;
var b: logic<10>;
assign {a, b} = 1;
}
Function
Function can be declared by function
keyword.
Arguments are placed in ()
and return type is placed after ->
.
If function doesn’t have a return value, ->
can be omitted.
module ModuleA {
let a: logic<10> = 1;
var b: logic<10>;
function FunctionA (
a: input logic<10>,
) -> logic<10> {
return a + 1;
}
function FunctionB (
a: input logic<10>,
) {}
assign b = FunctionA(a);
initial {
FunctionB(a);
}
}
Interface modports can be used as type of arguments. The given interface modports will be expanded into each Verilog ports when emitting SystemVerilog RTL.
interface InterfaceA::<W: u32> {
var ready: logic ;
var valid: logic ;
var data : logic<W>;
modport master {
ready: input ,
valid: output,
data : output,
}
modport slave {
..converse(master)
}
}
module ModuleA {
inst a_if: InterfaceA::<8>;
inst b_if: InterfaceA::<8>;
function FunctionA (
a_if: modport InterfaceA::<8>::slave ,
b_if: modport InterfaceA::<8>::master,
) {
a_if <> b_if;
}
always_comb {
FunctionA(a_if, b_if);
}
}
Initial / Final
Statements in initial
are executed at the beginning of simulation,
final
is the end.
Both will be ignored logical synthesis, and can be used as debug or assertion.
module ModuleA {
initial {
$display("initial");
}
final {
$display("final");
}
}
Attribute
Attribute can annotate some declarations like variable declaration.
sv
Attribute
sv
attribute represents SystemVerilog attribute.
It will be transpiled to SystemVerilog attribute (* *)
.
module ModuleA {
#[sv("ram_style=\"block\"")]
let _a: logic<10> = 1;
#[sv("mark_debug=\"true\"")]
let _b: logic<10> = 1;
}
allow
Attribute
allow
attribute is used to disable specified lint check.
module ModuleA {
#[allow(unused_variable)]
let a: logic<10> = 1;
}
Available lint names are below:
unused_variable
missing_reset_statement
missing_port
ifdef
/ifndef
/elsif
/else
Attribute
ifdef
and ifndef
attributes are used to control whether the annotated code block is enabled by defined value.
In addition, the code block with elsif
or else
attributes is optional and a code block with ifdef
or ifndef
attributes can be followed with that code block.
The following example shows a usage of these attributes and which code blocks will be enabled according to defined values.
- For the sequence of
ifdef
/elsif
/else
attributes- If
DEFINE_A
is defined, the code block with#[ifdef(DEFINE_A)]
(code block a) is enbaled, and code blocks with#[ifndef(DEFINE_B)]
and#[else]
(code block b/c) are disabled. - If
DEFINE_A
is not defined andDEFINE_B
is defiend, the code block with#[elsif(DEFINE_B)]
(code block b) is enabled, and code blocks with#[ifdef(DEFINE_A)]
and#[else]
(code block a/c) are disabled. - If
DEFINE_A
andDEFINE_B
are not defined the code block with#[else]
is enabled, and code blocks with#[ifdef(DEFINE_A)]
and#[elsif(DEFINE_B)]
(code block a/b) are disabled.
- If
- For the sequence of
ifndef
/else
attributes- If
DEFINE_D
is not defined, the code block with#[ifndef(DEFINE_D)]
(code block d) is enabled, and the code block with#[else]
(code block e) is disabled. - If
DEFINE_D
is defined, the code block with#[else]
(code block e) is enabled, and the code block with#[ifndef(DEFINE_D)]
(code block d) is disabled.
- If
module ModuleA {
#[ifdef(DEFINE_A)]
{
// code block a
let _a: logic<10> = 1;
}
#[elsif(DEFINE_B)]
{
// code block b
let _a: logic<10> = 2;
}
#[else]
{
// code block c
let _a: logic<10> = 3;
}
#[ifndef(DEFINE_D)]
{
// code block d
let _b: logic<10> = 4;
}
#[else]
{
// code block e
let _b: logic<10> = 5;
}
}
To avoid complex adjustment around trailing comma in generated code, the last item with ifdef
in comma-separated list is forbidden.
expand
Attribute
If expand
attribute is set, structured ports such as modport
are expanded into each Verilog ports.
Synthesis tools may require that ports of the top module includes no such ports. This attribute is helpful for such case.
The following argument is supported.
modport
: Expand ports of which direction ismodport
interface InterfaceA::<W: u32> {
var ready: logic ;
var valid: logic ;
var data : logic<W>;
modport master {
ready: input ,
valid: output,
data : output,
}
modport slave {
ready: output,
valid: input ,
data : input ,
}
}
#[expand(modport)]
module ModuleA (
slave_if : modport InterfaceA::<8>::slave [4],
master_if: modport InterfaceA::<8>::master [4],
) {
for i in 0..4 :g {
connect slave_if[i] <> master_if[i];
}
}
module ModuleB {
inst a_if: InterfaceA::<8> [4];
inst b_if: InterfaceA::<8> [4];
inst u: ModuleA (
slave_if : a_if,
master_if: b_if,
);
}
align
Attribute
align
attribute is used to control vertical alignment by formatter.
If number
is specified as an argument of align
attribute, all numbers are aligned.
identifier
can be used too.
module ModuleA {
let a : logic<32> = 1;
let aa : logic<32> = 1;
let aaa: logic<32> = 1;
let _b: logic = {
a[0] repeat 1, a[0] repeat 1,
aa[1] repeat 8, aa[1] repeat 8,
aaa[2] repeat 16, aaa[2] repeat 16,
};
#[align(number, identifier)]
let _c : logic = {
a [0 ] repeat 1 , a [0 ] repeat 1 ,
aa [1 ] repeat 8 , aa [1 ] repeat 8 ,
aaa[2 ] repeat 16, aaa[2 ] repeat 16,
};
}
fmt
Attribute
fmt
attribute is used to control formatiing way.
The following arguments are supported:
compact
: compact formatting without newlines
module ModuleA {
#[fmt(compact)]
{
inst u1: $sv::Module #( A: 1, B: 2 ) ( x: 1, y: _ );
inst u2: $sv::Module #( A: 1, B: 2 ) ( x: 1, y: _ );
inst u3: $sv::Module #( A: 1, B: 2 ) ( x: 1, y: _ );
inst u4: $sv::Module #( A: 1, B: 2 ) ( x: 1, y: _ );
}
}
skip
:veryl fmt
does not format modules, interfaces and packages on whichfmt
attribute withskip
argument is specified.
Generate
Declaration can be generated by for
and if
.
Label which is shown by :
is required to idenfity the generated declarations.
module ModuleA {
var a: logic<10>;
for i in 0..10 :label {
if i >: 5 :label {
assign a[i] = i + 2;
} else { // label of else clause can be omit
assign a[i] = i + 2;
}
}
}
For generate for
declaration, you can iterate declarations in descending order by putting rev
keyword aftet in
keyword.
module ModuleA (
i_a: input logic,
o_a: output logic,
i_b: input logic,
o_b: output logic,
) {
var a: logic<4>;
var b: logic<4>;
always_comb {
a[lsb] = i_a;
o_a = a[msb];
}
for i in 0..4 :g_a {
assign a[i + 1] = a[i];
}
always_comb {
b[msb] = i_b;
o_b = b[lsb];
}
for i in rev 0..4 :g_b {
assign b[i - 1] = b[i];
}
}
Instantiation
inst
keyword represents instantiation of modula and interface.
The name of instance is placed after inst
keyword,
and the type of instance is placed after :
.
Parameter override is #()
, and port connection is ()
.
module ModuleA #(
param paramA: u32 = 1,
) {
let a: logic<10> = 1;
let b: logic<10> = 1;
inst instB: ModuleB #(
paramA , // Parameter assignment by name
paramB: 10,
) (
a , // Port connection by name
bb: b,
);
}
module ModuleB #(
param paramA: u32 = 1,
param paramB: u32 = 1,
) (
a : input logic<10>,
bb: input logic<10>,
) {}
bind
declarations are also supported and will be translated into SystemVerilog’s bind declarations.
Unlike SystemVerilog, a module or an interface can be specified as a target scope, but not a specific instance.
interface InterfaceA {
var a: logic;
modport mp {
a: input,
}
}
module ModuleA (
i_clk: input clock,
i_rst: input reset,
) {
inst a_if: InterfaceA;
}
module ModuleB (
i_clk: input clock ,
i_rst: input reset ,
a_if : modport InterfaceA::mp,
) {}
module ModuleC {
bind ModuleA <- u0: ModuleB (
i_clk ,
i_rst ,
a_if ,
);
}
bind ModuleA <- u1: ModuleB (
i_clk: i_clk,
i_rst: i_rst,
a_if : a_if ,
);
Named Block
Label can be added to {}
block.
The named block has an individual namespace.
module ModuleA {
:labelA {
let _a: logic<10> = 1;
}
:labelB {
let _a: logic<10> = 1;
}
}
Import
import
declaration imports symbols from other packages.
It can be placed at the top level or as a module/interface/package item.
Wildcard pattern like package::*
can be used as an argument of import
declaration.
// file scope import
import $sv::SvPackage::*;
package PackageA {
const paramA: u32 = 1;
}
module ModuleA {
import PackageA::*;
import PackageA::paramA;
}
Symbols imported via an import declaration can be referenced anywhere within the namespace where the import declaration is placed.
package PackageA {
const WIDTH: u32 = 8;
}
module ModuleA (
i_d: input logic<WIDTH>, // valid reference
o_d: output logic<WIDTH>, // valid reference
) {
import PackageA::WIDTH;
let d : logic<WIDTH> = i_d; // valid reference
assign o_d = d;
}
Connect
To assign from a interface to another interface, connect
decleration can be used instead of each member assignment.
connect
decleration connects all members of the interface automatically.
The direction of assignment is determined by modport, so output
member is assigned to input
member.
If an argument of connect
is an interface instance, additional modport specification is necessary because it can’t be determined direction.
The connection operator <>
can be used in always_comb
too.
interface InterfaceA {
var cmd : logic;
var ready: logic;
modport master {
cmd : output,
ready: input ,
}
modport slave {
..converse(master)
}
}
module ModuleA (
mst_if0: modport InterfaceA::master,
slv_if0: modport InterfaceA::slave ,
mst_if1: modport InterfaceA::master,
slv_if1: modport InterfaceA::slave ,
) {
inst bus_if0: InterfaceA;
inst bus_if1: InterfaceA;
connect mst_if0 <> bus_if0.slave;
connect slv_if0 <> bus_if0.master;
always_comb {
mst_if1 <> bus_if1.slave;
}
always_comb {
slv_if1 <> bus_if1.master;
}
}
Module
Module is one of top level components in source code. Module has overridable parameters, connection ports, and internal logic.
Overridable parameters can be declared in #()
.
Each parameter declaration is started by param
keyword.
After the keyword, an identifier, :
, the type of the parameter, and a default value are placed.
Connection ports can be declared in ()
.
Each port declaration is constructed by an identifier, :
, port direction, and the type of the port.
The available port directions are:
input
: input portoutput
: output portinout
: bi-directional portmodport
: modport of interfaceinterface
: generic interface
module ModuleA #(
param ParamA: u32 = 0,
param ParamB: u32 = 0,
) (
a: input logic,
b: input logic,
c: input logic,
x: output logic,
) {
always_comb {
if c {
x = a;
} else {
x = b;
}
}
}
Default value of port
Ports of module can have default value. Ports which have default value can be omitted at the instantiation, and the default values are assigned to the omitted ports. As default value, the following values are allowed:
- Input port: literal, and
const
in package - Output port:
_
(anonymous identifier)
module ModuleA (
a: input logic ,
b: input logic = 1,
x: output logic ,
y: output logic = _,
) {
assign x = a;
assign y = b;
}
module ModubeB {
inst instA: ModuleA (
a: 1,
// b is omitted
x: _,
// y is omitted
);
}
Generic interface
Generic interface is a special port direction.
If interface
is specified as the port direction, the port can be connected to arbitrary interface.
Modport can be added to the interface
like interface::ModPort
too.
Then the port can be connected to only the interface which has ModPort
.
module ModuleA (
bus_if : interface,
slave_if: interface::slave,
) {}
Interface
Interface is one of top level components in source code. Interface has overridable parameters, and interface definitions.
Overridable parameters are the same as them of module.
In interface definitions, modport
can be declared.
modport
can be used as bundled port connection at the port declaration of module.
interface InterfaceA #(
param ParamA: u32 = 0,
param ParamB: u32 = 0,
) {
var a: logic;
var b: logic;
modport master {
a: output,
b: input ,
}
modport slave {
b: input ,
a: output,
}
}
In addition, functions specified with import
keyword can be used via the modport.
interface InterfaceA {
var a: logic;
var b: logic;
function a_and_b -> logic<2> {
return {a, b};
}
modport mp {
a : input ,
b : input ,
a_and_b: import,
}
}
module ModuleA (
ab_if: modport InterfaceA::mp,
) {
let _ab: logic<2> = ab_if.a_and_b();
}
Default members of modport
Instead of specifing all members of modport, default members can be specified like below:
..input
: all variables in the interface asinput
..output
: all variables in the interface asoutput
..same(modport_name)
: the same variables asmodport_name
..converse(modport_name)
: the same variables asmodport_name
, but all direction is converse
Specifing default members can be used with normal explicit members.
interface InterfaceA {
var a: logic;
var b: logic;
var c: logic;
modport master {
a: output,
b: input ,
c: input ,
}
modport slave {
..converse(master)
}
modport monitor {
..input
}
modport driver {
b: input,
..output
}
}
Connect interface instances/modport ports
An interface instance and a modport port can be connected with a module port of which type is compatible with it or is the generic
interface with the same manner of SystemVerilog.
interface InterfaceA {
var a: logic;
modport mp {
a: output,
}
}
module ModuleA (
foo_if: modport InterfaceA::mp,
bar_if: modport InterfaceA::mp,
) {
always_comb {
foo_if.a = '0;
bar_if.a = '0;
}
}
module ModuleB (
foo_if: modport InterfaceA::mp,
) {
inst bar_if: InterfaceA;
inst u: ModuleA (
foo_if: foo_if,
bar_if: bar_if,
);
}
Package
Package is one of top level components in source code. Package can organize some declarations like parameter and function.
To access an item in a package, ::
symbol can be used like PackageA::ParamA
.
package PackageA {
const ParamA: u32 = 0;
}
SystemVerilog Interoperation
If you want to access to items of SystemVerilog, $sv
namespace can be used.
For example, “ModuleA” in SystemVerilog source code can be accessed by $sv::ModuleA
.
Veryl don’t check the existence of the items.
Veryl compiler emits the path name as is excluding $sv::
.
Therefore, symbols in other HDLs like Verilog/VHDL can be refered through $sv::
too.
It depeneds on implementation (e.g. simulator, synthesizer) whether each symbols can be resolved.
module ModuleA {
let _a: logic = $sv::PackageA::ParamA;
inst b: $sv::ModuleB;
inst c: $sv::InterfaceC;
}
To access some identifiers which are used as Veryl’s keywords, raw identifier can be used.
module ModuleA (
i_clk: input clock,
) {
inst a: $sv::ModuleA (
// clock: i_clk
// ^ this is syntax error because `clock` is a keyword
// Instead of it, `r#clock` can be used
r#clock: i_clk,
);
}
Visibility
By default, all top level items of a project (module, interface and package) are private. The “private” means they are not visible from other project.
pub
keyword can be used to specify an item as public to other project.
veryl doc
will generate documents of public items only.
pub module ModuleA {}
pub interface InterfaceA {}
pub package PackageA {}
Foreign Language Integration
embed
declaration
embed
declaration can embed the code of foreign languages.
The first argument of embed
declaration shows the way of embedding.
The following ways are supported:
inline
: expand the code as iscocotb
: treated as cocotb based test
The code block are started by lang{{{
and ended by }}}
.
The following lang
specifiers are supported:
sv
: SystemVerilogpy
: Python
embed (inline) sv{{{
module ModuleSv;
endmodule
}}}
embed
declaration with inline
way and sv
lang can also be put within the body of module declaration, interface declaration and package declaration.
This usage is for integration with SystemVerilog testbench.
#[allow(unused_variable)]
interface bus_monitor_if {
var clk : clock ;
var ready : logic ;
var valid : logic ;
var payload: logic<8>;
embed (inline) sv{{{
clocking monitor_cb @(posedge clk);
input ready;
input valid;
input payload;
endclocking
}}}
}
Identifiers defined in Veryl code can be placed within embed
code blocks using the pair of \{
and \}
.
These identifiers are then resolved, and the resolved identifiers are placed there.
module Module47A {}
module Module47B::<V: u32> {}
module Module47C {
inst u_a: Module47A;
embed (inline) sv{{{
bind u_a \{ Module47B::<32> \} u_b32 ();
bind u_a \{ Module47B::<64> \} u_b64 ();
}}}
}
include
declaration
include
declaration can include a file of foreign languages.
The first argument is the same as embed
declaration, and the second is a relative file path from the source code.
include(inline, "module.sv");
Integrated Test
Integrated test can be marked by #[test(test_name)]
attribute.
The marked block will be identified as test, and executed through veryl test
command.
There are two way to describe integrated test:
- SystemVerilog test
- cocotb test
About RTL simulator used by veryl test
, see Simulator.
If --wave
option is specified, waveforms are generated.
SystemVerilog test
SystemVerilog test can be described with inline
specifier.
The top level module of the block must have the same name as the test name.
The messages through $info
, $warning
, $error
and $fatal
system function are handled by Veryl compiler, and shown as exectution log.
The calls of $error
and $fatal
are treated as test failure.
The following example, a SystemVerilog source code embeded by embed
declaration are marked as test.
#[test(test1)]
embed (inline) sv{{{
module test1;
initial begin
assert (0) else $error("error");
end
endmodule
}}}
cocotb test
cocotb test can be described with cocotb
specifier.
The target module name for test should be specified by the second argument of #[test]
attribute.
#[test(test1, ModuleA)]
embed (cocotb) py{{{
# cocotb code
}}}
Generics
Generics can define parameterized items which can’t achieved by parameter override. The following items support generics:
- function
- module
- interface
- package
- struct
- union
Each generic definition has generic parameters (often an uppercase letter is used like T
) which can be placed as identifier or expression in the definition.
Generic parameters are declarated after item’s identifier with ::<>
.
Each generic parameter should have generic bound after colon like T: TypeName
.
Generic bound represents what value can be passed to the generic parameter.
The available generic bounds are below:
type
: means arbitrary type can be passedinst: X
: instance ofX
- named prototype, user defined data type or fixed data type
Named prototype is a special generic bound. See Prototype for details.
At the usage of generics, actual parameters can be given through ::<>
.
As the actual parameters, numeric literal and identifier concatenated by ::
can be used.
Additionally, the actual parameters should be accessible at the position of the generics declaration. For example, module names can be used as actual parameters because it is accessible through the whole project. On the other hand, local parameters can’t be used as actual parameters in many cases. This is caused by that the local parameters is not accessible from the potision of the generics declaration.
Generic Function
module ModuleA {
function FuncA::<T: u32> (
a: input logic<T>,
) -> logic<T> {
return a + 1;
}
let _a: logic<10> = FuncA::<10>(1);
let _b: logic<20> = FuncA::<20>(1);
}
Generic Module/Interface
module ModuleA {
inst u0: ModuleB::<ModuleC>;
inst u1: ModuleB::<ModuleD>;
}
proto module ProtoA;
module ModuleB::<T: ProtoA> {
inst u: T;
}
module ModuleC for ProtoA {}
module ModuleD for ProtoA {}
Generic Package
package PackageA::<T: u32> {
const X: u32 = T;
}
module ModuleA {
const A: u32 = PackageA::<1>::X;
const B: u32 = PackageA::<2>::X;
}
Generic Struct
package PackageA {
type TypeB = u32;
type TypeC = u64;
}
module ModuleA {
type TypeA = i32;
struct StructA::<T: type> {
A: T,
}
// local defined type can be used
// because `TypeA` is accessible at the definition of `StructA`
var _a: StructA::<TypeA> ;
var _b: StructA::<PackageA::TypeB>;
var _c: StructA::<PackageA::TypeC>;
}
Default Parameter
Generic parameter can take a default value through =
after the generic parameter.
If the parameter specifications at call site is omitted, the default value is used.
module ModuleA {
function FuncA::<T: u32 = 10> (
a: input logic<T>,
) -> logic<T> {
return a + 1;
}
let _a: logic<10> = FuncA::<>(1);
let _b: logic<20> = FuncA::<20>(1);
}
Default parameters should be placed at the last of generic parameter list. If not, it causes ambiguous which parameters are omitted.
module ModuleA {
function FuncA::<T: u32, U: u32 = 1> (
a: input logic<T>,
) -> logic<T> {
return a + U;
}
// Error
//function FuncA::<T: u32 = 1, U: u32> (
// a: input logic<T>,
//) -> logic<T> {
// return a + U;
//}
let _a: logic<10> = FuncA::<10>(1);
let _b: logic<20> = FuncA::<20, 2>(1);
}
Prototype
Prototype is a special generic bound. It represents prototype which can be passed to the generic parameter. Currently module prototype, interface prototype and package prototype are supported.
Module Prototype
In the following example, ProtoA
is a module prototype which has parameter A
and port i_dat
and o_dat
.
By binding like T: ProtoA
, it is represented that the generic parameter T
should have the parameters and ports.
To use prototype, for
implementation is required. ModuleC
and ModuleD
have for ProroA
specifier which means the module satisfies the condision of ProroA
.
So the modules can be used as the generic parameter T
of ModuleB
.
module ModuleA {
inst u0: ModuleB::<ModuleC>;
inst u1: ModuleB::<ModuleD>;
}
proto module ProtoA #(
param A: u32 = 1,
) (
i_dat: input logic,
o_dat: output logic,
);
module ModuleB::<T: ProtoA> {
inst u: T (
i_dat: 0,
o_dat: _,
);
}
module ModuleC for ProtoA #(
param A: u32 = 1,
) (
i_dat: input logic,
o_dat: output logic,
) {
assign o_dat = i_dat;
}
module ModuleD for ProtoA #(
param A: u32 = 1,
) (
i_dat: input logic,
o_dat: output logic,
) {
assign o_dat = ~i_dat;
}
Interface Protype
In the following exmaple, ProtoA
is a interface prototype which has constant A
, raedy
/valid
/data
variables, function ack
and mopdort master
.
BUS_IF
is restricted by ProtoA
is guaranteed to have the above members, so they can be referred.
proto interface ProtoA {
const WIDTH: u32;
var ready: logic ;
var valid: logic ;
var data : logic<WIDTH>;
function ack() -> logic ;
modport master {
ready: input ,
valid: output,
data : output,
ack : import,
}
}
interface InterfaceA::<W: u32> for ProtoA {
const WIDTH: u32 = W;
var ready: logic ;
var valid: logic ;
var data : logic<WIDTH>;
function ack () -> logic {
return ready && valid;
}
modport master {
ready: input ,
valid: output,
data : output,
ack : import,
}
}
module ModuleA::<BUS_IF: ProtoA> (
bus_if: modport BUS_IF::master,
) {
connect bus_if <> 0;
}
module ModuleB {
inst bus_if: InterfaceA::<8>;
inst u: ModuleA::<InterfaceA::<8>> (
bus_if: bus_if,
);
}
Package Prototype
In the following example, ProtoA
is a package prototype which has type data_a
and data_b
.
PKG
restricted by ProtoA
is guaranteed to have data_a
and data_b
, so they can be refered.
proto package ProtoA {
type data_a;
type data_b;
}
package PackageA::<A: u32, B: u32> for ProtoA {
type data_a = logic<A>;
type data_b = logic<B>;
}
module ModuleA::<PKG: ProtoA> {
let _a: PKG::data_a = 0;
}
Prototype Items
Following protoype items can be declaraed within module, interface and package protoype declarations.
The following table shows which prototypes can have which prototype items.
Prototype | Parameter | Port | Const | Variable | Typedef | Struct/Enum/Union | Function | Alias | Modport |
---|---|---|---|---|---|---|---|---|---|
Module | v | v | |||||||
Interface | v | v | v | v | v | v | |||
Package | v | v | v | v | v |
Parameter
Parameter prototype specifies identifier name and data type. Specification of default value is optional.
proto module ModuleA #(
param A: u32 = 0,
param B: u32,
);
Port
Port prototype specifies identifier name, direction and data type of a port.
proto module ModuleA (
i_d: input logic,
o_d: output logic,
);
Const
Const prototype specify identifier name and data type of a constant. It can be used as a placeholder of a generic parameter.
proto package ProtoPkg {
const WIDTH: u32;
}
package PkgA::<W: u32> for ProtoPkg {
const WIDTH: u32 = W;
}
Variable
Variable prototype specifies identifier name and data type of a variable. It can be used for both of var
and let
declarations.
proto interface ProtoA {
var a: logic;
var b: logic;
}
interface InterfaceA for ProtoA {
var a: logic;
let b: lgoic = 0;
}
Typedef
Typedef prototype specifies identifier name of a type alias. It can be used as a placeholder for a generic parameter.
proto package ProtoPkg {
type data_t;
}
package PkgA::<W: u32> for ProtoPkg {
type data_t = logic<W>;
}
Furthermore, a typedef prototype can specify its actual type on its RHS. This allows a type symbol defined in a different package to be imported into the proto package and referenced from other components through it.
package FooPkg {
struct Foo {
foo: logic,
}
}
proto package BarProtoPkg {
type Foo = FooPkg::Foo;
}
package BarPkg for BarProtoPkg {
type Foo = FooPkg::Foo;
}
module ModuleA::<PKG: BarProtoPkg> {
var _foo : PKG::Foo;
assign _foo.foo = 0;
}
module ModuleB {
inst u: ModuleA::<BarPkg>;
}
Struct/Enum/Union
Struct, Enum and Union prototypes specify identifier name of a struct/enum/union and identifier name and data type of each members.
proto package ProtoPkg {
struct Foo {
a: logic,
b: logic,
}
enum Bar {
C,
D,
}
union Baz {
e: logic,
f: logic,
}
}
Function
Function prototype specifies identifier name and return data type of a function, and direction and data type of each arguments.
proto package ProtoPkg {
function foo (a: input logic, b: input logic) -> logic;
}
Alias Module/Interface/Package
Module alias prototype, interface alias prototype and package alias protoype specify identifier name and prototype of a module/interface/pacakge alias. Type of an actual alias is restricted by the given prototype.
proto module ProtoRamWrapper;
proto package ProtoPkg {
alias module ram: ProtoRamWrapper;
}
package Pkg::<RAM: ProtoRamWrapper> for ProtoPkg {
alias module ram = RAM;
}
module RamWrapper for ProtoRamWrapper {}
module top {
inst u_ram: Pkg::<RamWrapper>::ram;
}
Modport
Modport prototype specifis identifier name of a modport, and identifier name and direction of each membres.
proto interface ProtoA {
var a: logic;
var b: logic;
modport mp {
a: input ,
b: output,
}
}
Clock Domain Annotation
If there are some clocks in a module, explicit clock domain annotation like 'a
is required.
The annotation shows which clock domain each signals belong.
module ModuleA (
// belong clock domain 'a
i_clk_a: input 'a clock,
i_dat_a: input 'a logic,
o_dat_a: output 'a logic,
// belong clock domain 'b
i_clk_b: input 'b clock,
i_dat_b: input 'b logic,
o_dat_b: output 'b logic,
) {
// assignment in the same clock domain is safe
assign o_dat_a = i_dat_a;
assign o_dat_b = i_dat_b;
}
If there is single clock only in a module, the annotation can be omitted.
module ModuleA (
i_clk: input clock,
i_dat: input logic,
o_dat: output logic,
) {
assign o_dat = i_dat;
}
'_
is a special clock domain which means implicit clock domain.
This can be used to specify that some clocks belong the same implicit clock domain.
module ModuleA (
// all signals belong implicit clock domain
i_clk : input '_ clock,
i_clk_x2: input '_ clock,
i_dat : input logic,
o_dat : output logic,
) {
assign o_dat = i_dat;
}
Interface instances can have clock domain annotation.
module ModuleA {
inst intf: 'a InterfaceA;
}
interface InterfaceA {}
Unsafe CDC
Veryl compiler detects clock domain crossing as error.
So explicit unsafe (cdc)
block is required for clock domain crossing.
In the block, clock domain crossing error is suppressed, so designer should check whether it is safe carefully.
module ModuleA (
i_clk_a: input 'a clock,
i_dat_a: input 'a logic,
i_clk_b: input 'b clock,
o_dat_b: output 'b logic,
) {
// Error "Clock domain crossing is detected"
//assign o_dat_b = i_dat_a;
unsafe (cdc) {
assign o_dat_b = i_dat_a;
}
}
Typically, synchronizer cells are inserted to the boundaries between clock domains.
unsafe (cdc)
block is required for this usage too.
module ModuleA (
i_clk_a: input 'a clock,
i_dat_a: input 'a logic,
i_clk_b: input 'b clock,
o_dat_b: output 'b logic,
) {
unsafe (cdc) {
inst u_sync: $sv::SynchronizerCell (
i_clk: i_clk_b,
i_dat: i_dat_a,
o_dat: o_dat_b,
);
}
}
Standard Library
Veryl provides some useful and general modules as standard library.
Standard library is under $std
namespace, and it can be used without adding dependency.
The public API of standard library may be changed until Veryl 1.0 release.
module ModuleA {
// $std::fifo is FIFO module in standard library
inst u: $std::fifo (
i_clk : _,
i_rst : _,
i_clear : _,
o_empty : _,
o_almost_full: _,
o_full : _,
o_word_count : _,
i_push : _,
i_data : _,
i_pop : _,
o_data : _,
);
}
The full list and document of standard library is https://std.veryl-lang.org.
Alias
Module, interface and package with generic arguments becomes too long sometimes.
alias
can name a short name for such items.
package PkgA::<X: u32, Y: u32, Z: u32> {}
alias package PkgA123 = PkgA::<1, 2, 3>;
Development Environment
In this chapter, we’ll discuss about development environment including project configuration and development tools.
Project Configuration
[project]
— Defines a project.name
— The name of the project.version
— The version of the project.authors
— The authors of the project.description
— A description of the project.license
— The project license.repository
— URL of the project source repository.
[build]
— Build settings.[format]
— Format settings.[lint]
— Lint settings.[test]
— Test settings.[publish]
— Publish settings.[dependencies]
— Library dependencies.
The [project]
section
The first section of Veryl.toml
is [project]
.
The mandatory fields are name
and version
.
The name
field
The project name is used as prefix in the generated codes.
So the name must start with alphabet or _
, and use only alphanumeric characters or _
.
The version
field
The project version should follow Semantic Versioning. The version is constructed by the following three numbers.
- Major – increment at incompatible changes
- Minor – increment at adding features with backward compatibility
- Patch – increment at bug fixes with backward compatibility
[project]
version = "0.1.0"
The authors
field
The optional authors
field lists in an array the people or organizations that are considered the “authors” of the project.
The format of each string in the list is free. Name only, e-mail address only, and name with e-mail address included within angled brackets are commonly used.
[project]
authors = ["Fnu Lnu", "anonymous@example.com", "Fnu Lnu <anonymous@example.com>"]
The description
field
The description
is a short blurb about the project. This should be plane text (not Markdown).
The license
field
The license
field contains the name of license that the project is released under.
The string should be follow SPDX 2.3 license expression.
[project]
license = "MIT OR Apache-2.0"
The repository
field
The repository
field should be a URL to the source repository for the project.
[project]
repository = "https://github.com/veryl-lang/veryl"
The [build]
section
The [build]
section contains the configurations of code generation.
Available configurations is here.
The [format]
section
The [format]
section contains the configurations of code formatter.
Available configurations is here.
The [lint]
section
The [lint]
section contains the configurations of linter.
Available configurations is here.
The [test]
section
The [test]
section contains the configurations of test by RTL simulator.
Available configurations is here.
The [publish]
section
The [publish]
section contains the configurations of publishing.
Available configurations is here.
The [dependencies]
section
The [dependencies]
section contains library dependencies.
Available configurations is here.
Build
[build]
section specifies the configuration for code generation.
The clock_type
field
The clock_type
field specifies which clock edge is used to drive flip-flop.
The available types are below:
posedge
– positive edgenegedge
– negetive edge
The reset_type
field
The reset_type
field specifies reset polarity and synchronisity.
The available types are below:
async_low
– asynchronous and active lowasync_high
– asynchronous and active highsync_low
– synchronous and active lowsync_high
– synchronous and active high
The filelist_type
field
The filelist_type
field specifies filelist format.
The available types are below:
absolute
– plane text filelist including absolute file pathsrelative
– plane text filelist including relative file pathsflgen
– flgen filelist
The sources
field
By default, the Veryl compiler collects and translate all *.veryl
files which are visible from the project root.
You can specify directries where the Veryl compiler collect files by using the sources
field.
[build]
sources = ["rtl/foo_module", "rtl/bar_module"]
For the above exmaple, the Veryl compiler collect *.veryl
files from rtl/foo_module
and rtl/bar_module
.
The target
field
The target
field specifies where the generated codes will be placed at.
The available types are below:
source
– as the same directory as the source codedirectory
– specified directorybundle
– specified file
If you want to use directory
or bundle
, you should specify the target path by path
key.
[build]
target = {type = "directory", path = "[dst dir]"}
The implicit_parameter_types
field
The implicit_parameter_types
field lists the types which will be elided in parameter
declaration of the generated codes.
This is because some EDA tools don’t support parameter
declaration with specific types (ex.string
).
If you want to elide string
, you can specify like below:
[build]
implicit_parameter_types = ["string"]
The omit_project_prefix
field
If omit_project_prefix
is set to true
, the project prefix of module/interface/package name will be omitted.
This is false
by default.
[build]
omit_project_prefix = true
The strip_comments
field
If strip_comments
is set to true
, all comments will be stripped.
This is false
by default.
[build]
strip_comments = true
The *_prefix
and *_suffix
field
*_prefix
and *_suffix
represent additional prefix and suffix for the generated code.
The available configurations are below:
clock_posedge_prefix
: Prefix forclock
type atclock_type = posedge
clock_posedge_suffix
: Suffix forclock
type atclock_type = posedge
clock_negedge_prefix
: Prefix forclock
type atclock_type = negedge
clock_negedge_suffix
: Suffix forclock
type atclock_type = negedge
reset_high_prefix
: Prefix forreset
type atreset_type = *_high
reset_high_suffix
: Suffix forreset
type atreset_type = *_high
reset_low_prefix
: Prefix forreset
type atreset_type = *_low
reset_low_suffix
: Suffix forreset
type atreset_type = *_low
The sourcemap_target
field
The sourcemap_target
field specifies where the generated source maps will be placed at.
The available types are below:
target
– as the same directory as the target codedirectory
– specified directorynone
– no source map
If you want to use directory
, you should specify the target path by path
key.
[build]
sourcemap_target = {type = "directory", path = "[dst dir]"}
The expand_inside_operation
field
If expand_inside_operation
is set to true
, operations using inside
operator will be expended to logic using ==?
operator.
This is because some EDA tools don’t support inside
operator.
This is false
by default.
[build]
expand_inside_operation = true
The hashed_mangled_name
field
If hashed_mangled_name
is set true
, part showing the given generic arguments within the output component name is hashed.
This option prevent mangled name to become too long if there are many generic arguments.
This is false
by default.
[build]
hashed_mangled_name = true
Example:
- Un-hashed name:
prj___PkgA__0__1__2__3
- Hashed name:
prj___PkgA__3894375d1deadabb
The flatten_array_interface
field
If flatten_array_interface
is set true, multi-demensional array instance/modport is flattened into a single demensional array.
Some EDA tools do not support multi-demensional array instance/modport and this field is for such EDA tools.
This is false
by default.
[build]
flatten_array_interface = true
Example:
Veryl code
module ModuleA (
a_if: modport InterfaceA::mp [2, 3],
) {
for i in 0..2 :g {
for j in 0..3 :g {
assign a_if[i][j].a = 0;
}
}
}
Generated SystemVerilog code with flatten_array_interface
= true
module veryl_testcase_ModuleA (
veryl_testcase_InterfaceA.mp a_if [0:(2)*(3)-1]
);
for (genvar i = 0; i < 2; i++) begin :g
for (genvar j = 0; j < 3; j++) begin :g
always_comb a_if[(i)*(3)+(j)].a = 0;
end
end
endmodule
The exclude_std
field
If exclude_std
is set to true
, standard library will not be included.
[build]
exclude_std = true
The emit_cond_type
field
If emit_cond_type
is set to true
, condition type like unique
, unique0
and priority
is emitted.
[build]
emit_cond_type = true
The instance_depth_limit
field
instance_depth_limit
is the maximum depth of instance hierarchy. The default value is 128.
[build]
instance_depth_limit = 256
The instance_total_limit
field
instance_total_limit
is the maximum sub-instances in a module. The default value is 1048576.
[build]
instance_total_limit = 256
The incremental
field
If incremental
is set to true
, Veryl compiler re-generates only files related outdated files.
The default value is false
.
[build]
incremental = true
The error_count_limit
field
Specify the maximum number of error messages to display. To show all messages, leave this field blank or set the value to 0.
[build]
error_count_limit = 10
Format
[format]
section specifies the configuration for formatter like below:
[format]
indent_width = 4
Available configurations
Configuration | Value | Description |
---|---|---|
indent_width | integer | indent width by space |
Lint
[lint]
section specifies the configuration for linter like below:
[lint.naming]
case_enum = "snake"
Available configurations
The [lint.naming]
section
This section contains configurations of naming conventions.
Configuration | Value | Description |
---|---|---|
case_enum | case type1 | case style of enum |
case_function | case type1 | case style of function |
case_function_inout | case type1 | case style of inout argument |
case_function_input | case type1 | case style of input argument |
case_function_output | case type1 | case style of output argument |
case_instance | case type1 | case style of instance |
case_interface | case type1 | case style of interface |
case_modport | case type1 | case style of modport |
case_module | case type1 | case style of module |
case_package | case type1 | case style of package |
case_parameter | case type1 | case style of parameter |
case_port_inout | case type1 | case style of inout port |
case_port_input | case type1 | case style of input port |
case_port_modport | case type1 | case style of modport port |
case_port_output | case type1 | case style of output port |
case_reg | case type1 | case style of register type variable2 |
case_struct | case type1 | case style of struct |
case_union | case type1 | case style of union |
case_var | case type1 | case style of variable |
case_wire | case type1 | case style of wire type variable3 |
prefix_enum | string | prefix of enum |
prefix_function | string | prefix of function |
prefix_function_inout | string | prefix of inout argument |
prefix_function_input | string | prefix of input argument |
prefix_function_output | string | prefix of output argument |
prefix_instance | string | prefix of instance |
prefix_interface | string | prefix of interface |
prefix_modport | string | prefix of modport |
prefix_module | string | prefix of module |
prefix_package | string | prefix of package |
prefix_parameter | string | prefix of parameter |
prefix_port_inout | string | prefix of inout port |
prefix_port_input | string | prefix of input port |
prefix_port_modport | string | prefix of modport port |
prefix_port_output | string | prefix of output port |
prefix_reg | string | prefix of register type variable2 |
prefix_struct | string | prefix of struct |
prefix_union | string | prefix of union |
prefix_var | string | prefix of variable |
prefix_wire | string | prefix of wire type variable3 |
suffix_enum | string | suffix of enum |
suffix_function | string | suffix of function |
suffix_function_inout | string | suffix of inout argument |
suffix_function_input | string | suffix of input argument |
suffix_function_output | string | suffix of output argument |
suffix_instance | string | suffix of instance |
suffix_interface | string | suffix of interface |
suffix_modport | string | suffix of modport |
suffix_module | string | suffix of module |
suffix_package | string | suffix of package |
suffix_parameter | string | suffix of parameter |
suffix_port_inout | string | suffix of inout port |
suffix_port_input | string | suffix of input port |
suffix_port_modport | string | suffix of modport port |
suffix_port_output | string | suffix of output port |
suffix_reg | string | suffix of register type variable2 |
suffix_struct | string | suffix of struct |
suffix_union | string | suffix of union |
suffix_var | string | suffix of variable |
suffix_wire | string | suffix of wire type variable3 |
re_forbidden_enum | regex4 | regex forbidden of enum |
re_forbidden_function | regex4 | regex forbidden of function |
re_forbidden_function_inout | regex4 | regex forbidden of inout argument |
re_forbidden_function_input | regex4 | regex forbidden of input argument |
re_forbidden_function_output | regex4 | regex forbidden of output argument |
re_forbidden_instance | regex4 | regex forbidden of instance |
re_forbidden_interface | regex4 | regex forbidden of interface |
re_forbidden_modport | regex4 | regex forbidden of modport |
re_forbidden_module | regex4 | regex forbidden of module |
re_forbidden_package | regex4 | regex forbidden of package |
re_forbidden_parameter | regex4 | regex forbidden of parameter |
re_forbidden_port_inout | regex4 | regex forbidden of inout port |
re_forbidden_port_input | regex4 | regex forbidden of input port |
re_forbidden_port_modport | regex4 | regex forbidden of modport port |
re_forbidden_port_output | regex4 | regex forbidden of output port |
re_forbidden_reg | regex4 | regex forbidden of register type variable2 |
re_forbidden_struct | regex4 | regex forbidden of struct |
re_forbidden_union | regex4 | regex forbidden of union |
re_forbidden_var | regex4 | regex forbidden of variable |
re_forbidden_wire | regex4 | regex forbidden of wire type variable3 |
re_required_enum | regex4 | regex required of enum |
re_required_function | regex4 | regex required of function |
re_required_function_inout | regex4 | regex required of inout argument |
re_required_function_input | regex4 | regex required of input argument |
re_required_function_output | regex4 | regex required of output argument |
re_required_instance | regex4 | regex required of instance |
re_required_interface | regex4 | regex required of interface |
re_required_modport | regex4 | regex required of modport |
re_required_module | regex4 | regex required of module |
re_required_package | regex4 | regex required of package |
re_required_parameter | regex4 | regex required of parameter |
re_required_port_inout | regex4 | regex required of inout port |
re_required_port_input | regex4 | regex required of input port |
re_required_port_modport | regex4 | regex required of modport port |
re_required_port_output | regex4 | regex required of output port |
re_required_reg | regex4 | regex required of register type variable2 |
re_required_struct | regex4 | regex required of struct |
re_required_union | regex4 | regex required of union |
re_required_var | regex4 | regex required of variable |
re_required_wire | regex4 | regex required of wire type variable3 |
"snake"
– snake_case"screaming_snake"
– SCREAMING_SNAKE_CASE"lower_camel"
– lowerCamelCase"upper_camel"
– UpperCamelCase
-
The available values are ↩ ↩2 ↩3 ↩4 ↩5 ↩6 ↩7 ↩8 ↩9 ↩10 ↩11 ↩12 ↩13 ↩14 ↩15 ↩16 ↩17 ↩18 ↩19 ↩20
-
Register type means that the variable is assigned in
always_ff
. It will be mapped to flip-flop in synthesis phase. ↩ ↩2 ↩3 ↩4 ↩5 -
Wire type means that the variable is assigned in
always_comb
. It will be mapped to wire in synthesis phase. ↩ ↩2 ↩3 ↩4 ↩5 -
Regular expression string like
".*"
. The available syntax is here. ↩ ↩2 ↩3 ↩4 ↩5 ↩6 ↩7 ↩8 ↩9 ↩10 ↩11 ↩12 ↩13 ↩14 ↩15 ↩16 ↩17 ↩18 ↩19 ↩20 ↩21 ↩22 ↩23 ↩24 ↩25 ↩26 ↩27 ↩28 ↩29 ↩30 ↩31 ↩32 ↩33 ↩34 ↩35 ↩36 ↩37 ↩38 ↩39 ↩40
Test
[test]
section specifies the configuration for integrated unit test like below:
[test]
simulator = "vcs"
Available configurations
The [test]
section
The simulator
field
The simulator
field specifies default simulator.
The available types are below:
"verilator"
"vcs"
"vivado"
The include_files
field
The include_files
field specifies extra files used for simulation.
[test]
include_files = ["test/mem.hex"]
The waveform_target
field
The waveform_target
field specifies where the generated waveforms will be placed at.
The available types are below:
target
– as the same directory as the target codedirectory
– specified directory
If you want to use directory
, you should specify the target path by path
key.
[test]
waveform_target = {type = "directory", path = "[dst dir]"}
The waveform_format
field
The waveform_format
field specifies in which format the waveform will be dumped.
The available formats are:
vcd
– The default value and most readable format across all vendors. But also not very feature richfst
– This format has some more features, e.g. printing enum values instead of integers.gtkwave
andsurfer
can read this format.
The [test.verilator]
section
This section contains configurations of test by Verilator.
Configuration | Value | Description |
---|---|---|
compile_args | [string] | additional arguments to verilator command |
simulate_args | [string] | additional arguments to simulation binary |
The [test.vcs]
section
This section contains configurations of test by VCS.
Configuration | Value | Description |
---|---|---|
compile_args | [string] | additional arguments to vcs command |
simulate_args | [string] | additional arguments to simulation binary |
The [test.vivado]
section
This section contains configurations of test by Vivado.
Configuration | Value | Description |
---|---|---|
compile_args | [string] | additional arguments to xvlog command |
elaborate_args | [string] | additional arguments to xelab command |
simulate_args | [string] | additional arguments to xsim command |
Publish
[publish]
section specifies the configuration for publishing a project like below:
[publish]
bump_commit = true
bump_commit_message = "Bump"
Available configurations
Configuration | Value | Default | Description |
---|---|---|---|
bump_commit | boolean | false | automatic commit after bump |
publish_commit | boolean | false | automatic commit after publish |
bump_commit_mesasge | string | “chore: Bump version” | commit message after bump |
publish_commit_mesasge | string | “chore: Publish” | commit message after publish |
Dependencies
If you want to add other Veryl projects to dependencies of your project, you can add them to [dependencies]
section in Veryl.toml
.
The left hand side of entry is the project name of the dependency, and the right hand side is the source and version.
github
is a syntax sugger to refer a repository on GitHub. Instead of it, git
with a full URL can be used.
[dependencies]
veryl_sample = {github = "veryl-lang/veryl_sample", version = "0.1.0"}
# This is as the same as above
veryl_sample = {git = "https://github.com/veryl-lang/veryl_sample", version = "0.1.0"}
By default, the namespace of the dependency is the same as the project name of the dependency.
If you want to specify a namespace through the left hand side, you should specify the project name through project
field.
[dependencies]
veryl_sample_alt = {github = "veryl-lang/veryl_sample", project = "veryl_sample", version = "0.2.0"}
Inner projects in a repository can be used like below:
[dependencies]
inner_prj1 = {github = "veryl-lang/veryl_sample", version = "0.1.0"}
inner_prj2 = {github = "veryl-lang/veryl_sample", version = "0.1.0"}
inner_prj3 = {github = "veryl-lang/veryl_sample", version = "0.1.0"}
Usage of dependency
After adding dependencies to Veryl.toml
, you can use module
, interface
and package
in the dependencies.
The following example uses delay
module in the veryl_sample
dependency.
module ModuleA (
i_clk: input clock,
i_rst: input reset,
i_d : input logic,
o_d : output logic,
) {
inst u_delay: veryl_sample::delay (
i_clk,
i_rst,
i_d ,
o_d ,
);
}
Note: The result of play button in the above code is not exact because it doesn’t use dependency resolution. Actually the module name becomes
veryl_sample_delay
Version Requirement
The version
field of [dependencies]
section shows version requirement.
For example, version = "0.1.0"
means the latest version which has compatibility with 0.1.0
.
The compatibility is judged by Semantic Versioning.
A version is constructed from the following three parts.
MAJOR
version when you make incompatible API changesMINOR
version when you add functionality in a backwards compatible mannerPATCH
version when you make backwards compatible bug fixes
If MAJOR
version is 0
, MINOR
is interpreted as incompatible changes.
If there are 0.1.0
and 0.1.1
and 0.2.0
, 0.1.1
will be selected.
This is because
0.1.0
is compatible with0.1.0
.0.1.1
is compatible with0.1.0
.0.2.0
is not compatible with0.1.0
.0.1.1
is the latest in the compatible versions.
The version
field allows other version requirement representation like =0.1.0
.
Please see version requirement of Rust for detailed information: Specifying Dependencies.
Relative path dependency
For local development, dependency to a local file path is useful in some cases. Relative path dependency can be specified like below:
[dependencies]
veryl_sample = {path = "../../veryl_sample"}
If there are relative path dependencies in a project, the project can’t be published through veryl publish
.
Override by local path
Sometimes, using dependencies of locally modified version becomes necessary. In the case, overriding dependencies by local path can be used like below:
[dependencies]
veryl_sample = {github = "veryl-lang/veryl_sample", version = "0.1.0", path = "../veryl_sample"}
This means that if there is ../veryl_sample
, it is used, and if not, it is pulled from the Git repository.
Publish Project
To publish your project, veryl publish
can be used.
Publising means to associate a version with a git revision.
$ veryl publish
[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)
[INFO ] Writing metadata ([path to project]/Veryl.pub)
veryl publish
generates Veryl.pub
which contains published version information like below.
[[releases]]
version = "0.2.1"
revision = "297bc6b24c5ceca9e648c3ea5e01011c67d7efe7"
After generating Veryl.pub
, publishing sequence is completed by git add, commit and push.
The git branch to be committed must be the default branch because Veryl search Veryl.pub
in the default branch.
$ git add Veryl.pub
$ git commit -m "Publish"
$ git push
If you enable automatic commit by publish_commit
in [publish]
section of Veryl.toml
, git add and commit will be executed after publish.
$ veryl publish
[INFO ] Publishing release (0.2.1 @ 297bc6b24c5ceca9e648c3ea5e01011c67d7efe7)
[INFO ] Writing metadata ([path to project]/Veryl.pub)
[INFO ] Committing metadata ([path to project]/Veryl.pub)
Version Bump
You can bump version with publish at the same time by --bump
option.
As the same as publish, bump_commit
in [publish]
section of Veryl.toml
can specify automatic commit after bump version.
$ veryl publish --bump patch
[INFO ] Bumping version (0.2.1 -> 0.2.2)
[INFO ] Updating version field ([path to project]/Veryl.toml)
[INFO ] Committing metadata ([path to project]/Veryl.toml)
[INFO ] Publishing release (0.2.2 @ 159dee3b3f93d3a999d8bac4c6d26d51476b178a)
[INFO ] Writing metadata ([path to project]/Veryl.pub)
[INFO ] Committing metadata ([path to project]/Veryl.pub)
Configuration
The available configurations are here.
Directory Layout
Veryl supports arbitrary directory layout. This is because the optimal directory layout for an independent project and an integrated project within other projects is different.
In this section, we suggest some directory layout patterns.
Single source directory
This pattern contains all sources in src
directory.
In src
, you can configure arbitrary sub directories.
$ tree
.
|-- src
| |-- module_a.veryl
| `-- module_b
| |-- module_b.veryl
| `-- module_c.veryl
`-- Veryl.toml
2 directories, 4 files
Veryl gathers all *.veryl
files and generates codes at the same directory as the source by default.
You can show the behavior explicitly by the following configuration.
[build]
target = "source"
After veryl build
, the directory structure will become below:
$ tree
.
|-- dependencies
|-- prj.f
|-- src
| |-- module_a.sv
| |-- module_a.veryl
| `-- module_b
| |-- module_b.sv
| |-- module_b.veryl
| |-- module_c.sv
| `-- module_c.veryl
`-- Veryl.toml
3 directories, 8 files
Single source and target directory
If you want to place the generated codes into a directory, you can use target
configure in [build]
section of Veryl.toml
.
[build]
target = {type = "directory", path = "target"}
The directory layout of this configure will become below:
$ tree
.
|-- dependencies
|-- prj.f
|-- src
| |-- module_a.veryl
| `-- module_b
| |-- module_b.veryl
| `-- module_c.veryl
|-- target
| |-- module_a.sv
| |-- module_b.sv
| `-- module_c.sv
`-- Veryl.toml
4 directories, 8 files
Multi source directory
If you want to add a veryl project to the existing SystemVerilog project, you can choose the following structure.
$ tree
.
|-- dependencies
|-- module_a
| |-- module_a.sv
| `-- module_a.veryl
|-- module_b
| |-- module_b.sv
| |-- module_b.veryl
| |-- module_c.sv
| `-- module_c.veryl
|-- prj.f
|-- sv_module_x
| `-- sv_module_x.sv
|-- sv_module_y
| `-- sv_module_y.sv
`-- Veryl.toml
5 directories, 10 files
The generated prj.f
lists all generated files. So you can use it along with the existing SystemVerilog filelists.
About .gitignore
Veryl provides the following .gitignore
as the default value.
.build
is used to record build information by Veryl compiler.
.build/
Other patterns can be added by each projects.
The candidates of .gitignore
is below:
dependencies/
target/
*.sv
*.f
Formatter
Source code can be formatted by veryl fmt
command.
Alternatively, language server support formatting through textDocument/formatting
request.
The available configurations are here.
Linter
Lint check is executed at veryl check
or veryl build
.
Alternatively, language server checks lint in real time.
The available configurations are here.
Simulator
Test by RTL simulator is executed through veryl test
.
Supported simulators are below:
Verilator is the default simulator.
If no simulator is specified through Veryl.toml
and command-line option, it will be used.
The available configurations are here.
cocotb
cocotb
tests require python3
environment in which cocotb
is installed.
The supported version of cocotb
is 1.9.0 only.
For example, it can be installed by the following command.
$ pip3 install cocotb==1.9.0
As simulator backend, Verilator is only supported.
Language Server
veryl-ls
is a language server binary.
If you want to use it, editor configuration or plugin to use it is required.
The available configurations are below. These can be specified by each editor’s config.
Configuration | Value | Default | Description |
---|---|---|---|
useOperatorCompletion | boolean | false | use operator (e.g. ‘>:’, ‘>>’) completion |
Compatibility
Some tools supporting SystemVerilog don’t support some features.
Code generation can be customized by configuration of Veryl.toml
to support these tools.
Vivado
String parameter
Vivado don’t support parameter
which is typed as string
.
parameter string a = "A";
So you can use implicit_parameter_types
like below:
[build]
implicit_parameter_types = ["string"]
By the configuration, the generated code becomes like below:
parameter a = "A";
Quartus
inside
operator
Quartus don’t support inside
operator.
So you can use expand_inside_operation
like below:
[build]
expand_inside_operation = true
By the configuration, operations using inside
operator will be expanded to logic using ==?
operator.
Documentation
Document of project can be generated by veryl doc
command.
All public modules, interfaces and packages will be listed in it. (See Visibility )
If you want to add a detailed description, you can add documentation comment. In the documentation comment, Markdown syntax can be used.
The following formats are supported too.
Each syntax can be used in wavedrom
and mermaid
code block.
Please refer the following for the detailed syntax.
/// The detailed description of ModuleA
///
/// * list item0
/// * list item1
///
/// ```wavedrom
/// {signal: [
/// {name: 'clk', wave: 'p.....|...'},
/// {name: 'dat', wave: 'x.345x|=.x', data: ['head', 'body', 'tail', 'data']},
/// {name: 'req', wave: '0.1..0|1.0'},
/// {},
/// {name: 'ack', wave: '1.....|01.'}
///
/// ]}
/// ```
pub module ModuleA #(
/// Data width
param ParamA: u32 = 1,
const ParamB: u32 = 1,
) (
i_clk : input clock , /// Clock
i_rst : input reset , /// Reset
i_data: input logic<ParamA>, /// Data input
o_data: output logic<ParamA>, /// Data output
) {
assign o_data = 0;
}
The available configurations are below.
These can be specified in [doc]
section of Veryl.toml
.
[doc]
path = "document"
Configuration | Value | Default | Description |
---|---|---|---|
path | string | “doc” | path to output directory |
GitHub Action
The official GitHub action to download a prebuilt binary of Veryl is provided.
https://github.com/marketplace/actions/setup-veryl
The examples of GitHub action script are below:
- Format and build check
name: Check
on: [push, pull_request]
jobs:
check:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v4
- uses: veryl-lang/setup-veryl@v1
- run: veryl fmt --check
- run: veryl check
- Publish document through GitHub Pages
name: Deploy
on: [push]
jobs:
deploy:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v4
- uses: veryl-lang/setup-veryl@v1
- run: veryl doc
- uses: peaceiris/actions-gh-pages@v3
with:
github_token: ${{ secrets.GITHUB_TOKEN }}
publish_dir: doc
- Test by Verilator
For this purpose, we provide GitHub action veryl-lang/setup-verilator.
name: Test
on: [push, pull_request]
jobs:
test:
runs-on: ubuntu-22.04
steps:
- uses: actions/checkout@v4
- uses: veryl-lang/setup-veryl@v1
- uses: veryl-lang/setup-verilator@v1
- run: veryl test --sim verilator
Source Map
Source map is a file to be used for tracking location from SystemVerilog to Veryl. By this file, a file path, line and column of SystemVerilog can be translated into the position in Veryl.
By default, Veryl generates source map at the same directory as generated SystemVerilog with .sv.map
extension.
Source map generation can be configured through sourcemap_target
field in Veryl.toml
.
The format of source map follows Source Map Revision 3. The convention of linking generated code to source map is almost the same as JavaScript, but relative path is used only:
//# sourceMappingURL=<relative path>
So, if there is the above comment at the end of a SystemVerilog file, it shows source map can be used.
sourcemap-resolver
sourcemap-resolver
which is shipped together Veryl compiler can be used to annotate arbitrary text file like below:
ERROR: [VRFC 10-4982] syntax error near 'endmodule' [/path/test.sv:23]
^-- /path/test.veryl:18:18
The first line is the original text, and the second line is added by sourcemap-resolver
.
The usage examples are below:
$ sourcemap-resolver test.log # annotate the existing log
$ [command] | sourcemap-resolver # on-the-fly annotation by pipe
verylup
verylup is the official toolchain installer of Veryl. It eases to update and switch toolchains.
Update toolchain
The following command updates Veryl toolchain and verylup to the latest version.
verylup update
Install a specific toolchain
If you want to use a specific version of Veryl, verylup install
can be used.
verylup install 0.12.0
After installing it, +
version specifier can be used in veryl
command like below:
veryl +0.12.0 build
Toolchain override for directories
If you want to use a specific version of Veryl for specific directories, verylup override
can be used.
verylup override set 0.12.0
verylup override
can be executed in arbitrary directories in a Veryl project.
After this command, the default toolchain becomes 0.12.0
in the project.
Offline installation
If you want to verylup on an environment without internet access, offline installation can be used. The procedure of offline installation is below:
- Download the latest toolchain package from Veryl release page.
- Execute
veryl setup
with--pkg
specification like the following command.
verylup setup --offline --pkg veryl-x86_64-linux.zip
If you want to update/install toolchain, --pkg
specification is required as the same as setup.
verylup update --pkg veryl-x86_64-linux.zip
verylup install 0.12.0 --pkg veryl-x86_64-linux.zip
Proxy
Verylup refers the following environment variables for proxy configuration:
HTTPS_PROXY
https_proxy
ALL_PROXY
all_proxy
As proxy protocol, http
and socks5
are supported.
Proxy config
Instead of environment variables, you can specify proxy configuration for verylup only.
verylup config set proxy socks5://127.0.0.1:1086
Nightly channel
To use the latest features easily, nightly channel is available. Nightly channel is built daily from the master branch.
verylup install nightly
By default, nightly channel is not enabled after installation. So the following ways can be used to enable it.
// Use +nightly
veryl +nightly build
// Set default to nightly
verylup default nightly
// Override by nightly for a specific project
verylup override set nightly
For Veryl Developer
For Veryl developer, a special toolchain target local
is prepared.
If verylup install local
is executed in your local Veryl repository, the built toolchain is installed as local
toolchain.
local
becomes the default toolchain if it exists.
// Build and install the toolchain from local Veryl repository
verylup install local
// Use the built toolchain
veryl build
// Use the latest toolchain
veryl +latest build
Migrate to New Version
Sometimes, new version of Veryl introduces some breaking changes.
veryl migrate
migrates the existing project to new version automatically.
By --check
option, you can check how changes will be applied before the actual migration.
$ veryl migrate --check
$ veryl migrate
veryl migrate
migrates only single major (or minor until 1.0) version.
Therefore, multi version migration can be done like below:
$ veryl +0.15.0 migrate # from v0.14.0 to v0.15.0
$ veryl +0.16.0 migrate # from v0.15.0 to v0.16.0
Docker Image
The official Docker image is provided through Docker Hub.
https://hub.docker.com/r/veryllang/veryl
The image can be used as the base image for your custormized image, GitLab CI/CD and so on. Here is some examples to use the image.
docker
command
You can pull the image from veryllang/veryl
.
$ docker pull veryllang/veryl
Dockerfile
If you want to use the image as a base of your Docker image, the following FROM
directive can be used.
FROM veryllang/veryl:latest
GitLab CI/CD
The following is an example of .gitlab-ci.yml
for GitLab CI/CD.
image: "veryllang/veryl"
build:
stage: build
script:
- veryl build
fmt:
stage: build
script:
- veryl fmt --check
Appendix
Formal Syntax
Veryl’s parser is based on parser generator parol. The following syntex definition of parol is formal syntax.
%start Veryl
%title "Veryl grammar"
%comment "Empty grammar generated by `parol`"
%user_type VerylToken = crate::veryl_token::VerylToken
%user_type Token = crate::veryl_token::Token
%on HashLBracketTerm %push Attr
%on ColonColonLAngleTerm %push Generic
%on EscapedRBraceTerm %pop
%on EmbedTerm %enter EmbedHeader
%scanner EmbedHeader {
%on TripleLBraceTerm %enter EmbedBody
}
%scanner EmbedBody {
%auto_newline_off
%auto_ws_off
%on LBraceTerm %push EmbedBodyInner
%on EscapedLBraceTerm %push INITIAL
%on TripleRBraceTerm %enter INITIAL
}
%scanner EmbedBodyInner {
%auto_newline_off
%auto_ws_off
%on LBraceTerm %push EmbedBodyInner
%on EscapedLBraceTerm %push INITIAL
%on RBraceTerm %pop
}
%scanner Generic {
%on ColonColonLAngleTerm %push Generic
%on RAngleTerm %pop
}
%scanner Attr {
%on RBracketTerm %pop
}
%%
// ----------------------------------------------------------------------------
// Terminal
// ----------------------------------------------------------------------------
// Longest match should be first
CommentsTerm : <INITIAL, Generic, EmbedHeader, Attr>"(?:(?:(?://.*(?:\r\n|\r|\n)?)|(?:(?ms)/\*/?([^/]|[^*]/)*\*/))\s*)+" : Token;
StringLiteralTerm : <INITIAL, Generic, Attr>"\u{0022}(?:\\[\u{0022}\\/bfnrt]|u[0-9a-fA-F]{4}|[^\u{0022}\\\u0000-\u001F])*\u{0022}": Token;
ExponentTerm : <INITIAL, Generic >/[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/ : Token;
FixedPointTerm : <INITIAL, Generic >/[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*/ : Token;
BasedTerm : <INITIAL, Generic >/(?:[0-9]+(?:_[0-9]+)*)?'s?[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/ : Token;
AllBitTerm : <INITIAL, Generic >/(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/ : Token;
BaseLessTerm : <INITIAL, Generic >/[0-9]+(?:_[0-9]+)*/ : Token;
MinusColonTerm : <INITIAL >'-:' : Token;
MinusGTTerm : <INITIAL >'->' : Token;
LTMinusTerm : <INITIAL >'<-' : Token;
PlusColonTerm : <INITIAL >'+:' : Token;
AssignmentOperatorTerm: <INITIAL >"\+=|-=|\*=|/=|%=|&=|\|=|\^=|<<=|>>=|<<<=|>>>=" : Token;
DiamondOperatorTerm : <INITIAL >'<>' : Token;
Operator12Term : <INITIAL >"\*\*" : Token;
Operator11Term : <INITIAL >"/|%" : Token;
Operator10Term : <INITIAL >"\+|-" : Token;
Operator09Term : <INITIAL >"<<<|>>>|<<|>>" : Token;
Operator08Term : <INITIAL >"<=|>=|<:|>:" : Token;
Operator07Term : <INITIAL >"===|==\?|!==|!=\?|==|!=" : Token;
Operator03Term : <INITIAL >"&&" : Token;
Operator02Term : <INITIAL >"\|\|" : Token;
Operator06Term : <INITIAL >"&" : Token;
Operator05Term : <INITIAL >"\^~|\^|~\^" : Token;
Operator04Term : <INITIAL >"\|" : Token;
UnaryOperatorTerm : <INITIAL >"~&|~\||!|~" : Token;
ColonColonLAngleTerm : <INITIAL, Generic >'::<' : Token;
ColonColonTerm : <INITIAL, Generic >'::' : Token;
ColonTerm : <INITIAL, Generic >':' : Token;
CommaTerm : <INITIAL, Generic, Attr>',' : Token;
DotDotEquTerm : <INITIAL, Generic >'..=' : Token;
DotDotTerm : <INITIAL, Generic >'..' : Token;
DotTerm : <INITIAL, Generic >'.' : Token;
EquTerm : <INITIAL, Generic >'=' : Token;
HashLBracketTerm : <INITIAL >'#[' : Token;
HashTerm : <INITIAL, Generic >'#' : Token;
LAngleTerm : <INITIAL, Generic >'<' : Token;
QuestionTerm : <INITIAL >'?' : Token;
QuoteLBraceTerm : <INITIAL, Generic >"'\{" : Token;
QuoteTerm : <INITIAL >"'" : Token;
EscapedLBraceTerm : < EmbedBody, EmbedBodyInner >'\{' : Token;
TripleLBraceTerm : < EmbedHeader >'{{{' : Token;
LBraceTerm : <INITIAL, Generic, EmbedBody, EmbedBodyInner, Attr>'{' : Token;
LBracketTerm : <INITIAL, Generic, Attr>'[' : Token;
LParenTerm : <INITIAL, Generic, EmbedHeader, Attr>'(' : Token;
RAngleTerm : <INITIAL, Generic >'>' : Token;
EscapedRBraceTerm : <INITIAL >'\}' : Token;
TripleRBraceTerm : < EmbedBody >'}}}' : Token;
RBraceTerm : <INITIAL, Generic, EmbedBodyInner, Attr>'}' : Token;
RBracketTerm : <INITIAL, Generic, Attr>']' : Token;
RParenTerm : <INITIAL, Generic, EmbedHeader, Attr>')' : Token;
SemicolonTerm : <INITIAL, Generic >';' : Token;
StarTerm : <INITIAL, Generic >'*' : Token;
// Keywords are reflected to syntax highlight definitions through highlightgen tool.
// Please refer support/highlightgen/README.md if you want to add a keyword.
AliasTerm : <INITIAL, Generic >'alias' : Token; // Keyword: Statement
AlwaysCombTerm : <INITIAL, Generic >'always_comb' : Token; // Keyword: Statement
AlwaysFfTerm : <INITIAL, Generic >'always_ff' : Token; // Keyword: Statement
AssignTerm : <INITIAL, Generic >'assign' : Token; // Keyword: Statement
AsTerm : <INITIAL, Generic >'as' : Token; // Keyword: Statement
BindTerm : <INITIAL, Generic >'bind' : Token; // Keyword: Statement
BitTerm : <INITIAL, Generic >'bit' : Token; // Keyword: Type
BoolTerm : <INITIAL, Generic >'bool' : Token; // Keyword: Type
CaseTerm : <INITIAL, Generic >'case' : Token; // Keyword: Conditional
ClockTerm : <INITIAL, Generic >'clock' : Token; // Keyword: Type
ClockPosedgeTerm : <INITIAL, Generic >'clock_posedge' : Token; // Keyword: Type
ClockNegedgeTerm : <INITIAL, Generic >'clock_negedge' : Token; // Keyword: Type
ConnectTerm : <INITIAL, Generic >'connect' : Token; // Keyword: Statement
ConstTerm : <INITIAL, Generic >'const' : Token; // Keyword: Statement
ConverseTerm : <INITIAL, Generic >'converse' : Token; // Keyword: Direction
DefaultTerm : <INITIAL, Generic >'default' : Token; // Keyword: Conditional
ElseTerm : <INITIAL, Generic >'else' : Token; // Keyword: Conditional
EmbedTerm : <INITIAL >'embed' : Token; // Keyword: Structure
EnumTerm : <INITIAL, Generic >'enum' : Token; // Keyword: Structure
F32Term : <INITIAL, Generic >'f32' : Token; // Keyword: Type
F64Term : <INITIAL, Generic >'f64' : Token; // Keyword: Type
FalseTerm : <INITIAL, Generic >'false' : Token; // Keyword: Literal
FinalTerm : <INITIAL, Generic >'final' : Token; // Keyword: Statement
ForTerm : <INITIAL, Generic >'for' : Token; // Keyword: Repeat
FunctionTerm : <INITIAL, Generic >'function' : Token; // Keyword: Structure
I8Term : <INITIAL, Generic >'i8' : Token; // Keyword: Type
I16Term : <INITIAL, Generic >'i16' : Token; // Keyword: Type
I32Term : <INITIAL, Generic >'i32' : Token; // Keyword: Type
I64Term : <INITIAL, Generic >'i64' : Token; // Keyword: Type
IfResetTerm : <INITIAL, Generic >'if_reset' : Token; // Keyword: Conditional
IfTerm : <INITIAL, Generic >'if' : Token; // Keyword: Conditional
ImportTerm : <INITIAL, Generic >'import' : Token; // Keyword: Statement
IncludeTerm : <INITIAL, Generic >'include' : Token; // Keyword: Structure
InitialTerm : <INITIAL, Generic >'initial' : Token; // Keyword: Statement
InoutTerm : <INITIAL, Generic >'inout' : Token; // Keyword: Direction
InputTerm : <INITIAL, Generic >'input' : Token; // Keyword: Direction
InsideTerm : <INITIAL, Generic >'inside' : Token; // Keyword: Conditional
InstTerm : <INITIAL, Generic >'inst' : Token; // Keyword: Statement
InterfaceTerm : <INITIAL, Generic >'interface' : Token; // Keyword: Structure
InTerm : <INITIAL, Generic >'in' : Token; // Keyword: Repeat
LetTerm : <INITIAL, Generic >'let' : Token; // Keyword: Statement
LogicTerm : <INITIAL, Generic >'logic' : Token; // Keyword: Type
LsbTerm : <INITIAL, Generic >'lsb' : Token; // Keyword: Literal
ModportTerm : <INITIAL, Generic >'modport' : Token; // Keyword: Structure
ModuleTerm : <INITIAL, Generic >'module' : Token; // Keyword: Structure
MsbTerm : <INITIAL, Generic >'msb' : Token; // Keyword: Literal
OutputTerm : <INITIAL, Generic >'output' : Token; // Keyword: Direction
OutsideTerm : <INITIAL, Generic >'outside' : Token; // Keyword: Conditional
PackageTerm : <INITIAL, Generic >'package' : Token; // Keyword: Structure
ParamTerm : <INITIAL, Generic >'param' : Token; // Keyword: Statement
ProtoTerm : <INITIAL, Generic >'proto' : Token; // Keyword: Structure
PubTerm : <INITIAL, Generic >'pub' : Token; // Keyword: Structure
RepeatTerm : <INITIAL, Generic >'repeat' : Token; // Keyword: Repeat
ResetTerm : <INITIAL, Generic >'reset' : Token; // Keyword: Type
ResetAsyncHighTerm : <INITIAL, Generic >'reset_async_high' : Token; // Keyword: Type
ResetAsyncLowTerm : <INITIAL, Generic >'reset_async_low' : Token; // Keyword: Type
ResetSyncHighTerm : <INITIAL, Generic >'reset_sync_high' : Token; // Keyword: Type
ResetSyncLowTerm : <INITIAL, Generic >'reset_sync_low' : Token; // Keyword: Type
ReturnTerm : <INITIAL, Generic >'return' : Token; // Keyword: Statement
RevTerm : <INITIAL, Generic >'rev' : Token; // Keyword: Repeat
BreakTerm : <INITIAL, Generic >'break' : Token; // Keyword: Statement
SameTerm : <INITIAL, Generic >'same' : Token; // Keyword: Direction
SignedTerm : <INITIAL, Generic >'signed' : Token; // Keyword: Type
StepTerm : <INITIAL, Generic >'step' : Token; // Keyword: Repeat
StringTerm : <INITIAL, Generic >'string' : Token; // Keyword: Type
StructTerm : <INITIAL, Generic >'struct' : Token; // Keyword: Structure
SwitchTerm : <INITIAL, Generic >'switch' : Token; // Keyword: Conditional
TriTerm : <INITIAL, Generic >'tri' : Token; // Keyword: Type
TrueTerm : <INITIAL, Generic >'true' : Token; // Keyword: Literal
TypeTerm : <INITIAL, Generic >'type' : Token; // Keyword: Statement
U8Term : <INITIAL, Generic >'u8' : Token; // Keyword: Type
U16Term : <INITIAL, Generic >'u16' : Token; // Keyword: Type
U32Term : <INITIAL, Generic >'u32' : Token; // Keyword: Type
U64Term : <INITIAL, Generic >'u64' : Token; // Keyword: Type
UnionTerm : <INITIAL, Generic >'union' : Token; // Keyword: Structure
UnsafeTerm : <INITIAL, Generic >'unsafe' : Token; // Keyword: Structure
VarTerm : <INITIAL, Generic >'var' : Token; // Keyword: Statement
DollarIdentifierTerm : <INITIAL, Generic >/\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;
IdentifierTerm : <INITIAL, Generic, EmbedHeader, Attr>/(?:r#)?[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;
AnyTerm : < EmbedBody, EmbedBodyInner >/(?:[^{}\\]|\\[^{])+/ : Token;
// ----------------------------------------------------------------------------
// Token
// ----------------------------------------------------------------------------
Comments: [ CommentsTerm ];
StartToken: Comments;
StringLiteralToken: StringLiteralTerm: Token Comments;
ExponentToken : ExponentTerm : Token Comments;
FixedPointToken: FixedPointTerm: Token Comments;
BasedToken : BasedTerm : Token Comments;
BaseLessToken : BaseLessTerm : Token Comments;
AllBitToken : AllBitTerm : Token Comments;
AssignmentOperatorToken: AssignmentOperatorTerm: Token Comments;
DiamondOperatorToken : DiamondOperatorTerm : Token Comments;
Operator02Token : Operator02Term : Token Comments;
Operator03Token : Operator03Term : Token Comments;
Operator04Token : Operator04Term : Token Comments;
Operator05Token : Operator05Term : Token Comments;
Operator06Token : Operator06Term : Token Comments;
Operator07Token : Operator07Term : Token Comments;
Operator08Token : Operator08Term : Token Comments;
Operator09Token : Operator09Term : Token Comments;
Operator10Token : Operator10Term : Token Comments;
Operator11Token : Operator11Term : Token Comments;
Operator12Token : Operator12Term : Token Comments;
UnaryOperatorToken : UnaryOperatorTerm : Token Comments;
ColonToken : ColonTerm : Token Comments;
ColonColonLAngleToken: ColonColonLAngleTerm: Token Comments;
ColonColonToken : ColonColonTerm : Token Comments;
CommaToken : CommaTerm : Token Comments;
DotDotToken : DotDotTerm : Token Comments;
DotDotEquToken : DotDotEquTerm : Token Comments;
DotToken : DotTerm : Token Comments;
EquToken : EquTerm : Token Comments;
HashLBracketToken : HashLBracketTerm : Token Comments;
HashToken : HashTerm : Token Comments;
QuestionToken : QuestionTerm : Token Comments;
QuoteLBraceToken : QuoteLBraceTerm : Token Comments;
QuoteToken : QuoteTerm : Token Comments;
LAngleToken : LAngleTerm : Token Comments;
EmbedLBraceToken : LBraceTerm : Token ;
EscapedLBraceToken : EscapedLBraceTerm : Token ;
TripleLBraceToken : TripleLBraceTerm : Token ;
LBraceToken : LBraceTerm : Token Comments;
LBracketToken : LBracketTerm : Token Comments;
LParenToken : LParenTerm : Token Comments;
LTMinusToken : LTMinusTerm : Token Comments;
MinusColonToken : MinusColonTerm : Token Comments;
MinusGTToken : MinusGTTerm : Token Comments;
PlusColonToken : PlusColonTerm : Token Comments;
RAngleToken : RAngleTerm : Token Comments;
EmbedRBraceToken : RBraceTerm : Token ;
EscapedRBraceToken : EscapedRBraceTerm : Token ;
TripleRBraceToken : TripleRBraceTerm : Token Comments;
RBraceToken : RBraceTerm : Token Comments;
RBracketToken : RBracketTerm : Token Comments;
RParenToken : RParenTerm : Token Comments;
SemicolonToken : SemicolonTerm : Token Comments;
StarToken : StarTerm : Token Comments;
AliasToken : AliasTerm : Token Comments;
AlwaysCombToken : AlwaysCombTerm : Token Comments;
AlwaysFfToken : AlwaysFfTerm : Token Comments;
AsToken : AsTerm : Token Comments;
AssignToken : AssignTerm : Token Comments;
BindToken : BindTerm : Token Comments;
BitToken : BitTerm : Token Comments;
BoolToken : BoolTerm : Token Comments;
CaseToken : CaseTerm : Token Comments;
ClockToken : ClockTerm : Token Comments;
ClockPosedgeToken : ClockPosedgeTerm : Token Comments;
ClockNegedgeToken : ClockNegedgeTerm : Token Comments;
ConnectToken : ConnectTerm : Token Comments;
ConstToken : ConstTerm : Token Comments;
ConverseToken : ConverseTerm : Token Comments;
DefaultToken : DefaultTerm : Token Comments;
ElseToken : ElseTerm : Token Comments;
EmbedToken : EmbedTerm : Token Comments;
EnumToken : EnumTerm : Token Comments;
F32Token : F32Term : Token Comments;
F64Token : F64Term : Token Comments;
FalseToken : FalseTerm : Token Comments;
FinalToken : FinalTerm : Token Comments;
ForToken : ForTerm : Token Comments;
FunctionToken : FunctionTerm : Token Comments;
I8Token : I8Term : Token Comments;
I16Token : I16Term : Token Comments;
I32Token : I32Term : Token Comments;
I64Token : I64Term : Token Comments;
IfResetToken : IfResetTerm : Token Comments;
IfToken : IfTerm : Token Comments;
ImportToken : ImportTerm : Token Comments;
IncludeToken : IncludeTerm : Token Comments;
InitialToken : InitialTerm : Token Comments;
InoutToken : InoutTerm : Token Comments;
InputToken : InputTerm : Token Comments;
InsideToken : InsideTerm : Token Comments;
InstToken : InstTerm : Token Comments;
InterfaceToken : InterfaceTerm : Token Comments;
InToken : InTerm : Token Comments;
LetToken : LetTerm : Token Comments;
LogicToken : LogicTerm : Token Comments;
LsbToken : LsbTerm : Token Comments;
ModportToken : ModportTerm : Token Comments;
ModuleToken : ModuleTerm : Token Comments;
MsbToken : MsbTerm : Token Comments;
OutputToken : OutputTerm : Token Comments;
OutsideToken : OutsideTerm : Token Comments;
PackageToken : PackageTerm : Token Comments;
ParamToken : ParamTerm : Token Comments;
ProtoToken : ProtoTerm : Token Comments;
PubToken : PubTerm : Token Comments;
RepeatToken : RepeatTerm : Token Comments;
ResetToken : ResetTerm : Token Comments;
ResetAsyncHighToken: ResetAsyncHighTerm: Token Comments;
ResetAsyncLowToken : ResetAsyncLowTerm : Token Comments;
ResetSyncHighToken : ResetSyncHighTerm : Token Comments;
ResetSyncLowToken : ResetSyncLowTerm : Token Comments;
ReturnToken : ReturnTerm : Token Comments;
RevToken : RevTerm : Token Comments;
BreakToken : BreakTerm : Token Comments;
SameToken : SameTerm : Token Comments;
SignedToken : SignedTerm : Token Comments;
StepToken : StepTerm : Token Comments;
StringToken : StringTerm : Token Comments;
StructToken : StructTerm : Token Comments;
SwitchToken : SwitchTerm : Token Comments;
TriToken : TriTerm : Token Comments;
TrueToken : TrueTerm : Token Comments;
TypeToken : TypeTerm : Token Comments;
U8Token : U8Term : Token Comments;
U16Token : U16Term : Token Comments;
U32Token : U32Term : Token Comments;
U64Token : U64Term : Token Comments;
UnionToken : UnionTerm : Token Comments;
UnsafeToken : UnsafeTerm : Token Comments;
VarToken : VarTerm : Token Comments;
DollarIdentifierToken: DollarIdentifierTerm: Token Comments;
IdentifierToken : IdentifierTerm : Token Comments;
AnyToken: AnyTerm: Token;
// ----------------------------------------------------------------------------
// VerylToken
// ----------------------------------------------------------------------------
// Start
Start: StartToken: VerylToken;
// StringLiteral
StringLiteral: StringLiteralToken: VerylToken;
// Number
Exponent : ExponentToken : VerylToken;
FixedPoint: FixedPointToken: VerylToken;
Based : BasedToken : VerylToken;
BaseLess : BaseLessToken : VerylToken;
AllBit : AllBitToken : VerylToken;
// Operator
AssignmentOperator: AssignmentOperatorToken: VerylToken;
DiamondOperator : DiamondOperatorToken : VerylToken;
Operator02 : Operator02Token : VerylToken;
Operator03 : Operator03Token : VerylToken;
Operator04 : Operator04Token : VerylToken;
Operator05 : Operator05Token : VerylToken;
Operator06 : Operator06Token : VerylToken;
Operator07 : Operator07Token : VerylToken;
Operator08 : Operator08Token : VerylToken;
Operator09 : Operator09Token : VerylToken;
Operator10 : Operator10Token : VerylToken;
Operator11 : Operator11Token : VerylToken;
Operator12 : Operator12Token : VerylToken;
UnaryOperator : UnaryOperatorToken : VerylToken;
// Symbol
Colon : ColonToken : VerylToken;
ColonColonLAngle: ColonColonLAngleToken: VerylToken;
ColonColon : ColonColonToken : VerylToken;
Comma : CommaToken : VerylToken;
DotDot : DotDotToken : VerylToken;
DotDotEqu : DotDotEquToken : VerylToken;
Dot : DotToken : VerylToken;
Equ : EquToken : VerylToken;
HashLBracket : HashLBracketToken : VerylToken;
Hash : HashToken : VerylToken;
Question : QuestionToken : VerylToken;
QuoteLBrace : QuoteLBraceToken : VerylToken;
Quote : QuoteToken : VerylToken;
LAngle : LAngleToken : VerylToken;
EmbedLBrace : EmbedLBraceToken : VerylToken;
EscapedLBrace : EscapedLBraceToken : VerylToken;
TripleLBrace : TripleLBraceToken : VerylToken;
LBrace : LBraceToken : VerylToken;
LBracket : LBracketToken : VerylToken;
LParen : LParenToken : VerylToken;
LTMinus : LTMinusToken : VerylToken;
MinusColon : MinusColonToken : VerylToken;
MinusGT : MinusGTToken : VerylToken;
PlusColon : PlusColonToken : VerylToken;
RAngle : RAngleToken : VerylToken;
EmbedRBrace : EmbedRBraceToken : VerylToken;
EscapedRBrace : EscapedRBraceToken : VerylToken;
TripleRBrace : TripleRBraceToken : VerylToken;
RBrace : RBraceToken : VerylToken;
RBracket : RBracketToken : VerylToken;
RParen : RParenToken : VerylToken;
Semicolon : SemicolonToken : VerylToken;
Star : StarToken : VerylToken;
// Keyword
Alias : AliasToken : VerylToken;
AlwaysComb : AlwaysCombToken : VerylToken;
AlwaysFf : AlwaysFfToken : VerylToken;
As : AsToken : VerylToken;
Assign : AssignToken : VerylToken;
Bind : BindToken : VerylToken;
Bit : BitToken : VerylToken;
Bool : BoolToken : VerylToken;
Break : BreakToken : VerylToken;
Case : CaseToken : VerylToken;
Clock : ClockToken : VerylToken;
ClockPosedge : ClockPosedgeToken : VerylToken;
ClockNegedge : ClockNegedgeToken : VerylToken;
Connect : ConnectToken : VerylToken;
Const : ConstToken : VerylToken;
Converse : ConverseToken : VerylToken;
Defaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait
Else : ElseToken : VerylToken;
Embed : EmbedToken : VerylToken;
Enum : EnumToken : VerylToken;
F32 : F32Token : VerylToken;
F64 : F64Token : VerylToken;
False : FalseToken : VerylToken;
Final : FinalToken : VerylToken;
For : ForToken : VerylToken;
Function : FunctionToken : VerylToken;
I8 : I8Token : VerylToken;
I16 : I16Token : VerylToken;
I32 : I32Token : VerylToken;
I64 : I64Token : VerylToken;
If : IfToken : VerylToken;
IfReset : IfResetToken : VerylToken;
Import : ImportToken : VerylToken;
In : InToken : VerylToken;
Include : IncludeToken : VerylToken;
Initial : InitialToken : VerylToken;
Inout : InoutToken : VerylToken;
Input : InputToken : VerylToken;
Inside : InsideToken : VerylToken;
Inst : InstToken : VerylToken;
Interface : InterfaceToken : VerylToken;
Let : LetToken : VerylToken;
Logic : LogicToken : VerylToken;
Lsb : LsbToken : VerylToken;
Modport : ModportToken : VerylToken;
Module : ModuleToken : VerylToken;
Msb : MsbToken : VerylToken;
Output : OutputToken : VerylToken;
Outside : OutsideToken : VerylToken;
Package : PackageToken : VerylToken;
Param : ParamToken : VerylToken;
Proto : ProtoToken : VerylToken;
Pub : PubToken : VerylToken;
Repeat : RepeatToken : VerylToken;
Reset : ResetToken : VerylToken;
ResetAsyncHigh: ResetAsyncHighToken: VerylToken;
ResetAsyncLow : ResetAsyncLowToken : VerylToken;
ResetSyncHigh : ResetSyncHighToken : VerylToken;
ResetSyncLow : ResetSyncLowToken : VerylToken;
Return : ReturnToken : VerylToken;
Rev : RevToken : VerylToken;
Same : SameToken : VerylToken;
Signed : SignedToken : VerylToken;
Step : StepToken : VerylToken;
Strin : StringToken : VerylToken; // avoid to conflict with Rust's String struct
Struct : StructToken : VerylToken;
Switch : SwitchToken : VerylToken;
Tri : TriToken : VerylToken;
True : TrueToken : VerylToken;
Type : TypeToken : VerylToken;
U8 : U8Token : VerylToken;
U16 : U16Token : VerylToken;
U32 : U32Token : VerylToken;
U64 : U64Token : VerylToken;
Union : UnionToken : VerylToken;
Unsafe : UnsafeToken : VerylToken;
Var : VarToken : VerylToken;
// Identifier
DollarIdentifier: DollarIdentifierToken: VerylToken;
Identifier : IdentifierToken : VerylToken;
Any: AnyToken: VerylToken;
// ----------------------------------------------------------------------------
// Number
// ----------------------------------------------------------------------------
Number: IntegralNumber
| RealNumber
;
IntegralNumber: Based
| BaseLess
| AllBit
;
RealNumber: FixedPoint
| Exponent
;
// ----------------------------------------------------------------------------
// Complex Identifier
// ----------------------------------------------------------------------------
HierarchicalIdentifier: Identifier { Select } { Dot Identifier { Select } };
ScopedIdentifier : ( DollarIdentifier | Identifier [ WithGenericArgument ] ) { ColonColon Identifier [ WithGenericArgument ] };
ExpressionIdentifier : ScopedIdentifier [ Width ] { Select } { Dot Identifier { Select } };
// ----------------------------------------------------------------------------
// Expression
// ----------------------------------------------------------------------------
Expression : IfExpression;
IfExpression: { If Expression Question Expression Colon } Expression01;
Expression01: Expression02 { Operator02 Expression02 };
Expression02: Expression03 { Operator03 Expression03 };
Expression03: Expression04 { Operator04 Expression04 };
Expression04: Expression05 { Operator05 Expression05 };
Expression05: Expression06 { Operator06 Expression06 };
Expression06: Expression07 { Operator07 Expression07 };
Expression07: Expression08 { Operator08 Expression08 };
Expression08: Expression09 { Operator09 Expression09 };
Expression09: Expression10 { Operator10 Expression10 };
Expression10: Expression11 { ( Operator11 | Star ) Expression11 };
Expression11: Expression12 { Operator12 Expression12 };
Expression12: Expression13 [ As CastingType ];
Expression13: { ( UnaryOperator | Operator10 | Operator06 | Operator04 | Operator05 ) } Factor;
Factor: Number
| BooleanLiteral
| IdentifierFactor
| LParen Expression RParen
| LBrace ConcatenationList RBrace
| QuoteLBrace ArrayLiteralList RBrace
| CaseExpression
| SwitchExpression
| StringLiteral
| ( Msb | Lsb )
| InsideExpression
| OutsideExpression
| TypeExpression
| FactorTypeFactor
;
BooleanLiteral: True | False;
IdentifierFactor: ExpressionIdentifier [ FunctionCall | StructConstructor ];
FactorTypeFactor: { TypeModifier } FactorType;
FunctionCall: LParen [ ArgumentList ] RParen;
ArgumentList: ArgumentItem { Comma ArgumentItem } [ Comma ];
ArgumentItem: ArgumentExpression [ Colon Expression ];
ArgumentExpression: Expression;
StructConstructor: QuoteLBrace StructConstructorList [ DotDot Defaul LParen Expression RParen ] RBrace;
StructConstructorList: StructConstructorItem { Comma StructConstructorItem } [ Comma ];
StructConstructorItem: Identifier Colon Expression;
ConcatenationList: ConcatenationItem { Comma ConcatenationItem } [ Comma ];
ConcatenationItem: Expression [ Repeat Expression ];
ArrayLiteralList: ArrayLiteralItem { Comma ArrayLiteralItem } [ Comma ];
ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression );
CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma { CaseCondition Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace;
SwitchExpression: Switch LBrace SwitchCondition Colon Expression Comma { SwitchCondition Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace;
TypeExpression: Type LParen Expression RParen;
InsideExpression: Inside Expression LBrace RangeList RBrace;
OutsideExpression: Outside Expression LBrace RangeList RBrace;
RangeList: RangeItem { Comma RangeItem } [ Comma ];
RangeItem: Range;
// ----------------------------------------------------------------------------
// Select / Width / Array / Range
// ----------------------------------------------------------------------------
Select: LBracket Expression [ SelectOperator Expression ] RBracket;
SelectOperator: Colon
| PlusColon
| MinusColon
| Step
;
Width: LAngle Expression { Comma Expression } RAngle;
Array: LBracket Expression { Comma Expression } RBracket;
Range: Expression [ RangeOperator Expression ];
RangeOperator: DotDot
| DotDotEqu
;
// ----------------------------------------------------------------------------
// ScalarType / ArrayType / CastingType
// ----------------------------------------------------------------------------
FixedType: U8 | U16 | U32 | U64 | I8 | I16| I32 | I64 | F32 | F64 | Bool | Strin;
VariableType: Clock
| ClockPosedge
| ClockNegedge
| Reset
| ResetAsyncHigh
| ResetAsyncLow
| ResetSyncHigh
| ResetSyncLow
| Logic
| Bit;
UserDefinedType: ScopedIdentifier;
TypeModifier: Tri | Signed | Defaul;
FactorType: ( VariableType [ Width ] | FixedType );
ScalarType: { TypeModifier } ( UserDefinedType [ Width ] | FactorType );
ArrayType: ScalarType [ Array ];
CastingType: U8
| U16
| U32
| U64
| I8
| I16
| I32
| I64
| F32
| F64
| Bool
| Clock
| ClockPosedge
| ClockNegedge
| Reset
| ResetAsyncHigh
| ResetAsyncLow
| ResetSyncHigh
| ResetSyncLow
| UserDefinedType
| Based
| BaseLess
;
// ----------------------------------------------------------------------------
// ClockDomain
// ----------------------------------------------------------------------------
ClockDomain: Quote Identifier;
// ----------------------------------------------------------------------------
// Statement
// ----------------------------------------------------------------------------
StatementBlock: LBrace { StatementBlockGroup } RBrace;
StatementBlockGroup: { Attribute } ( LBrace { StatementBlockGroup } RBrace | StatementBlockItem );
StatementBlockItem: VarDeclaration | LetStatement | ConstDeclaration | Statement;
Statement: IdentifierStatement
| IfStatement
| IfResetStatement
| ReturnStatement
| BreakStatement
| ForStatement
| CaseStatement
| SwitchStatement
;
LetStatement: Let Identifier Colon [ ClockDomain ] ArrayType Equ Expression Semicolon;
IdentifierStatement: ExpressionIdentifier ( FunctionCall | Assignment ) Semicolon;
Assignment: ( Equ | AssignmentOperator | DiamondOperator ) Expression;
IfStatement: If Expression StatementBlock { Else If Expression StatementBlock } [ Else StatementBlock ];
IfResetStatement: IfReset StatementBlock { Else If Expression StatementBlock } [ Else StatementBlock ];
ReturnStatement: Return Expression Semicolon;
BreakStatement: Break Semicolon;
ForStatement: For Identifier Colon ScalarType In [ Rev ] Range [ Step AssignmentOperator Expression ] StatementBlock;
CaseStatement: Case Expression LBrace { CaseItem } RBrace;
CaseItem: ( CaseCondition | Defaul ) Colon ( Statement | StatementBlock );
CaseCondition: RangeItem { Comma RangeItem } ;
SwitchStatement: Switch LBrace { SwitchItem } RBrace;
SwitchItem: ( SwitchCondition | Defaul ) Colon ( Statement | StatementBlock );
SwitchCondition: Expression { Comma Expression } ;
// ----------------------------------------------------------------------------
// Attribute
// ----------------------------------------------------------------------------
Attribute: HashLBracket Identifier [ LParen AttributeList RParen ] RBracket ;
AttributeList: AttributeItem { Comma AttributeItem } [ Comma ];
AttributeItem: Identifier
| StringLiteral
;
// ----------------------------------------------------------------------------
// Declaration
// ----------------------------------------------------------------------------
LetDeclaration: Let Identifier Colon [ ClockDomain ] ArrayType Equ Expression Semicolon;
VarDeclaration: Var Identifier Colon [ ClockDomain ] ArrayType Semicolon;
ConstDeclaration: Const Identifier Colon ( ArrayType | Type ) Equ Expression Semicolon;
TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon;
AlwaysFfDeclaration: AlwaysFf [ AlwaysFfEventList ] StatementBlock;
AlwaysFfEventList: LParen AlwaysFfClock [ Comma AlwaysFfReset ] RParen;
AlwaysFfClock: HierarchicalIdentifier;
AlwaysFfReset: HierarchicalIdentifier;
AlwaysCombDeclaration: AlwaysComb StatementBlock;
AssignDeclaration: Assign AssignDestination Equ Expression Semicolon;
AssignDestination: HierarchicalIdentifier
| LBrace AssignConcatenationList RBrace;
AssignConcatenationList: AssignConcatenationItem { Comma AssignConcatenationItem } [ Comma ];
AssignConcatenationItem: HierarchicalIdentifier;
ConnectDeclaration: Connect HierarchicalIdentifier DiamondOperator Expression Semicolon;
ModportDeclaration: Modport Identifier LBrace [ ModportList ] [ DotDot ModportDefault ] RBrace;
ModportList: ModportGroup { Comma ModportGroup } [ Comma ];
ModportGroup: { Attribute } ( LBrace ModportList RBrace | ModportItem );
ModportItem: Identifier Colon Direction;
ModportDefault: Input
| Output
| Same LParen Identifier RParen
| Converse LParen Identifier RParen;
EnumDeclaration: Enum Identifier [ Colon ScalarType ] LBrace EnumList RBrace;
EnumList: EnumGroup { Comma EnumGroup } [ Comma ];
EnumGroup: { Attribute } ( LBrace EnumList RBrace | EnumItem );
EnumItem: Identifier [ Equ Expression ];
StructUnion: Struct | Union;
StructUnionDeclaration: StructUnion Identifier [ WithGenericParameter ] LBrace StructUnionList RBrace;
StructUnionList: StructUnionGroup { Comma StructUnionGroup } [ Comma ];
StructUnionGroup: { Attribute } ( LBrace StructUnionList RBrace | StructUnionItem );
StructUnionItem: Identifier Colon ScalarType;
InitialDeclaration: Initial StatementBlock;
FinalDeclaration: Final StatementBlock;
// ----------------------------------------------------------------------------
// InstDeclaration/BindDeclaration
// ----------------------------------------------------------------------------
InstDeclaration: Inst ComponentInstantiation Semicolon;
BindDeclaration: Bind ScopedIdentifier LTMinus ComponentInstantiation Semicolon;
ComponentInstantiation: Identifier Colon [ ClockDomain ] ScopedIdentifier [ Array ] [ InstParameter ] [ InstPort ];
InstParameter: Hash LParen [ InstParameterList ] RParen;
InstParameterList: InstParameterGroup { Comma InstParameterGroup } [ Comma ];
InstParameterGroup: { Attribute } ( LBrace InstParameterList RBrace | InstParameterItem );
InstParameterItem: Identifier [ Colon Expression ];
InstPort: LParen [ InstPortList ] RParen;
InstPortList: InstPortGroup { Comma InstPortGroup } [ Comma ];
InstPortGroup: { Attribute } ( LBrace InstPortList RBrace | InstPortItem );
InstPortItem: Identifier [ Colon Expression ];
// ----------------------------------------------------------------------------
// WithParameter
// ----------------------------------------------------------------------------
WithParameter: Hash LParen [ WithParameterList ] RParen;
WithParameterList: WithParameterGroup { Comma WithParameterGroup } [ Comma ];
WithParameterGroup: { Attribute } ( LBrace WithParameterList RBrace | WithParameterItem );
WithParameterItem: ( Param | Const ) Identifier Colon ( ArrayType | Type ) [ Equ Expression ];
// ----------------------------------------------------------------------------
// WithGenericParameter
// ----------------------------------------------------------------------------
GenericBound: Type
| Inst ScopedIdentifier
| GenericProtoBound;
WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle;
WithGenericParameterList: WithGenericParameterItem { Comma WithGenericParameterItem } [ Comma ];
WithGenericParameterItem: Identifier Colon GenericBound [ Equ WithGenericArgumentItem ];
GenericProtoBound: ScopedIdentifier | FixedType;
// ----------------------------------------------------------------------------
// WithGenericArgument
// ----------------------------------------------------------------------------
WithGenericArgument: ColonColonLAngle [ WithGenericArgumentList ] RAngle;
WithGenericArgumentList: WithGenericArgumentItem { Comma WithGenericArgumentItem } [ Comma ];
WithGenericArgumentItem: ExpressionIdentifier
| FixedType
| Number
| BooleanLiteral
;
// ----------------------------------------------------------------------------
// PortDeclaration
// ----------------------------------------------------------------------------
PortDeclaration: LParen [ PortDeclarationList ] RParen;
PortDeclarationList: PortDeclarationGroup { Comma PortDeclarationGroup } [ Comma ];
PortDeclarationGroup: { Attribute } ( LBrace PortDeclarationList RBrace | PortDeclarationItem );
PortDeclarationItem: Identifier Colon ( PortTypeConcrete | PortTypeAbstract );
PortTypeConcrete: Direction [ ClockDomain ] ArrayType [ Equ PortDefaultValue ];
PortDefaultValue: Expression;
PortTypeAbstract: [ ClockDomain ] Interface [ ColonColon Identifier ] [ Array ];
Direction: Input
| Output
| Inout
| Modport
| Import
;
// ----------------------------------------------------------------------------
// Function
// ----------------------------------------------------------------------------
FunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] StatementBlock;
// ----------------------------------------------------------------------------
// Import
// ----------------------------------------------------------------------------
ImportDeclaration: Import ScopedIdentifier [ ColonColon Star ] Semicolon;
// ----------------------------------------------------------------------------
// Unsafe
// ----------------------------------------------------------------------------
UnsafeBlock: Unsafe LParen Identifier RParen LBrace { GenerateGroup } RBrace;
// ----------------------------------------------------------------------------
// Module/Interface
// ----------------------------------------------------------------------------
ModuleDeclaration: Module Identifier [ WithGenericParameter ] [ For ScopedIdentifier ] [ WithParameter ] [ PortDeclaration ] LBrace { ModuleGroup } RBrace;
ModuleGroup: { Attribute } ( LBrace { ModuleGroup } RBrace | ModuleItem );
ModuleItem: GenerateItem;
InterfaceDeclaration: Interface Identifier [ WithGenericParameter ] [ For ScopedIdentifier ] [ WithParameter ] LBrace { InterfaceGroup } RBrace;
InterfaceGroup: { Attribute } ( LBrace { InterfaceGroup } RBrace | InterfaceItem );
InterfaceItem: GenerateItem | ModportDeclaration;
GenerateIfDeclaration: If Expression GenerateNamedBlock { Else If Expression GenerateOptionalNamedBlock } [ Else GenerateOptionalNamedBlock ];
GenerateForDeclaration: For Identifier In [ Rev ] Range [ Step AssignmentOperator Expression ] GenerateNamedBlock;
GenerateBlockDeclaration: GenerateNamedBlock;
GenerateNamedBlock: Colon Identifier LBrace { GenerateGroup } RBrace;
GenerateOptionalNamedBlock: [ Colon Identifier ] LBrace { GenerateGroup } RBrace;
GenerateGroup: { Attribute } ( LBrace { GenerateGroup } RBrace | GenerateItem );
GenerateItem: LetDeclaration
| VarDeclaration
| InstDeclaration
| BindDeclaration
| ConstDeclaration
| AlwaysFfDeclaration
| AlwaysCombDeclaration
| AssignDeclaration
| ConnectDeclaration
| FunctionDeclaration
| GenerateIfDeclaration
| GenerateForDeclaration
| GenerateBlockDeclaration
| TypeDefDeclaration
| EnumDeclaration
| StructUnionDeclaration
| ImportDeclaration
| AliasDeclaration
| InitialDeclaration
| FinalDeclaration
| UnsafeBlock
| EmbedDeclaration
;
// ----------------------------------------------------------------------------
// Package
// ----------------------------------------------------------------------------
PackageDeclaration: Package Identifier [ WithGenericParameter ] [ For ScopedIdentifier ] LBrace { PackageGroup } RBrace;
PackageGroup: { Attribute } ( LBrace { PackageGroup } RBrace | PackageItem );
PackageItem: ConstDeclaration
| TypeDefDeclaration
| EnumDeclaration
| StructUnionDeclaration
| FunctionDeclaration
| ImportDeclaration
| AliasDeclaration
| EmbedDeclaration
;
// ----------------------------------------------------------------------------
// Alias
// ----------------------------------------------------------------------------
AliasDeclaration: Alias ( Module | Interface | Package ) Identifier Equ ScopedIdentifier Semicolon;
// ----------------------------------------------------------------------------
// Proto
// ----------------------------------------------------------------------------
ProtoDeclaration: Proto ( ProtoModuleDeclaration | ProtoInterfaceDeclaration | ProtoPackageDeclaration );
ProtoModuleDeclaration: Module Identifier [ WithParameter ] [ PortDeclaration ] Semicolon;
ProtoInterfaceDeclaration: Interface Identifier [ WithParameter ] LBrace { ProtoInterfaceItem } RBrace;
ProtoInterfaceItem: VarDeclaration
| ProtoConstDeclaration
| ProtoFunctionDeclaration
| ProtoTypeDefDeclaration
| ProtoAliasDeclaration
| ModportDeclaration
| ImportDeclaration
;
ProtoPackageDeclaration: Package Identifier LBrace { ProtoPacakgeItem } RBrace;
ProtoPacakgeItem: ProtoConstDeclaration
| ProtoTypeDefDeclaration
| EnumDeclaration
| StructUnionDeclaration
| ProtoFunctionDeclaration
| ProtoAliasDeclaration
| ImportDeclaration
;
ProtoConstDeclaration: Const Identifier Colon ( ArrayType | Type ) Semicolon;
ProtoTypeDefDeclaration: Type Identifier [ Equ ArrayType ] Semicolon;
ProtoFunctionDeclaration: Function Identifier [ WithGenericParameter ] [ PortDeclaration ] [ MinusGT ScalarType ] Semicolon;
ProtoAliasDeclaration: Alias ( Module | Interface | Package ) Identifier Colon ScopedIdentifier Semicolon;
// ----------------------------------------------------------------------------
// Embed
// ----------------------------------------------------------------------------
EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent;
EmbedContent: TripleLBrace { EmbedItem } TripleRBrace;
EmbedScopedIdentifier: EscapedLBrace ScopedIdentifier EscapedRBrace;
EmbedItem: EmbedLBrace { EmbedItem } EmbedRBrace
| EmbedScopedIdentifier
| Any;
// ----------------------------------------------------------------------------
// Include
// ----------------------------------------------------------------------------
IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon;
// ----------------------------------------------------------------------------
// Description
// ----------------------------------------------------------------------------
DescriptionGroup: { Attribute } ( LBrace { DescriptionGroup } RBrace | DescriptionItem );
DescriptionItem: [ Pub ] PublicDescriptionItem
| ImportDeclaration
| BindDeclaration
| EmbedDeclaration
| IncludeDeclaration
;
PublicDescriptionItem: ModuleDeclaration
| InterfaceDeclaration
| PackageDeclaration
| AliasDeclaration
| ProtoDeclaration
;
// ----------------------------------------------------------------------------
// SourceCode
// ----------------------------------------------------------------------------
Veryl: Start { DescriptionGroup };
Semantic Error
duplicated_identifier
invalid_allow
invalid_direction
invalid_identifier
invalid_lsb
invalid_msb
invalid_number_character
invalid_statement
invalid_system_function
invalid_type_declaration
This error is reported when struct
, enum
and union
data types are defined within interface declarations.